OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t6507lp/trunk/rtl/verilog
    from Rev 166 to Rev 167
    Reverse comparison

Rev 166 → Rev 167

/t6507lp_alu.v
431,7 → 431,7
STATUS[V] = 0;
*/
if (alu_status[D] == 1) begin
bcdl = A[3:0] - alu_a[3:0] - ~alu_status[C];
bcdl = A[3:0] - alu_a[3:0] - ( 1 - alu_status[C] );
bcdh = A[7:4] - alu_a[7:4];
if (bcdl > 9) begin
bcdh = bcdh + bcdl[5:4];

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.