OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t6507lp/trunk/rtl/verilog
    from Rev 167 to Rev 168
    Reverse comparison

Rev 167 → Rev 168

/t6507lp_alu.v
164,7 → 164,7
begin
alu_status[B] <= 1;
end
PLP_IMP, RTI_IMP :
PLP_IMP : //, RTI_IMP :
begin
alu_status[C] <= alu_a[C];
alu_status[Z] <= alu_a[Z];
247,7 → 247,7
//end
// PLP - Pull Processor Status Register
PLP_IMP, RTI_IMP: begin
PLP_IMP : begin //, RTI_IMP: begin
STATUS = alu_a;
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.