OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t6507lp/trunk/rtl/verilog
    from Rev 87 to Rev 88
    Reverse comparison

Rev 87 → Rev 88

/t6507lp_fsm_tb.v
112,6 → 112,9
fake_mem[31] = LDA_ABX; // testing ABX mode, READ TYPE. No page crossed.
fake_mem[32] = 8'h0a;
fake_mem[33] = 8'h00;
fake_mem[34] = LDA_ABX; // testing ABX mode, READ TYPE. Page crossed.
fake_mem[35] = 8'hff;
fake_mem[36] = 8'h00;
 
@(negedge clk) // will wait for next negative edge of the clock (t=20)
/t6507lp_fsm.v
128,8 → 128,10
address_plus_index = 0;
page_crossed = 0;
 
if (state == READ_MEM_CALC_INDEX || state == FETCH_HIGH_CALC_INDEX) begin
{page_crossed, address_plus_index} = temp_addr + index;
if (state == READ_MEM_CALC_INDEX || state == READ_MEM_FIX_ADDR || state == FETCH_HIGH_CALC_INDEX) begin
{page_crossed, address_plus_index[7:0]} = temp_addr[7:0] + index;
address_plus_index[12:8] = temp_addr[12:8] + page_crossed;
 
end
end
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.