OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t6507lp/trunk/rtl
    from Rev 113 to Rev 114
    Reverse comparison

Rev 113 → Rev 114

/verilog/T6507LP.v
42,7 → 42,7
//// ////
////////////////////////////////////////////////////////////////////////////
 
`timescale 1ns / 1ps
`include "timescale.v"
 
`include "T6507LP_ALU.v"
`include "T6507LP_FSM.v"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.