OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t6507lp/trunk/sim
    from Rev 81 to Rev 113
    Reverse comparison

Rev 81 → Rev 113

/T6507LP_ULA/T6507LP_ALU_TestBench.v
22,7 → 22,7
.alu_opcode (alu_opcode),
.alu_a (alu_a)
);
 
 
/*
localparam period = 10;
 
35,11 → 35,11
begin
clk_i = 0;
n_rst_i = 1;
@(negedge clk_i);
n_rst_i = 0;
alu_opcode = LDA_IMM;
alu_a = 0;
@(negedge clk_i);
n_rst_i = 0;
alu_opcode = LDA_IMM;
alu_a = 0;
@(negedge clk_i);
alu_opcode = ADC_IMM;
alu_a = 1;
while (1) begin
46,7 → 46,7
$display("op1 = %h op2 = c = %h d = %h n = %h v = %h ", alu_a, alu_status[C], alu_status[D], alu_status[N], alu_status[V]);
end
$finish;
end
end
*/
endmodule
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.