OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t6507lp
    from Rev 96 to Rev 97
    Reverse comparison

Rev 96 → Rev 97

/trunk/rtl/verilog/T6507LP_Package.v
99,7 → 99,6
//// ////
////////////////////////////////////////////////////////////////////////////
 
//TODO: Is there any addressing mode that is not used??
localparam IMP = 4'h0,
ACC = 4'h1,
IMM = 4'h2,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.