OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /theia_gpu/branches/icarus_version/simulation
    from Rev 167 to Rev 170
    Reverse comparison

Rev 167 → Rev 170

/Makefile
39,10 → 39,7
@echo "TESTBENCH not set. Use TESTBENCH=value to set it."
@exit 2
endif
ifeq ($(strip $(SCENEPATH)),)
@echo "SCENEPATH not set. Use SCENEPATH=value to set it"
@exit 2
endif
check: file_check
66,7 → 63,11
rm -f aDefinitions.v
run : testbench_check
cp $(SCENEPATH)/*.mem .
ifeq ($(strip $(SCENEPATH)),)
@echo "SCENEPATH not set. Use SCENEPATH=value to set it"
@exit 2
endif
cp -f $(SCENEPATH)/*.mem .
perl $(INPUTCONFIGURATIONSCRIPT) $(GPUCORES)
$(VVP_CMD) ./$(TESTBENCH) -$(DUMPTYPE) $(VVP_FLAGS)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.