OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /theia_gpu/branches/icarus_version/simulation
    from Rev 172 to Rev 177
    Reverse comparison

Rev 172 → Rev 177

/Makefile
11,6 → 11,7
#iverilog CONFIG
VERILOG_CMD = iverilog
GPUCORES = 4
GPUMEMBANKS = $(GPUCORES)
#VERILOG_FLAGS =
# VVP (iverilog runtime engine)
52,7 → 53,7
compile : testbench_check
perl $(GPUCONFIGURATIONSCRIPT) $(GPUCORES)
perl $(GPUCONFIGURATIONSCRIPT) $(GPUCORES) $(GPUMEMBANKS)
 
cp $(SOURCEPATH)/aDefinitions.v .
ifeq ($(strip $(DEBUG_CORE_ID)),)
75,6 → 76,4
$(WAVEFORM_VIEWER) $(SIMDIR)/$(TESTBENCH).$(DUMPTYPE)
clean :
rm -f *.mem
rm -f *.ppm
rm -f *.log
rm *.{mem,ppm,log}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.