URL
https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk
Subversion Repositories theia_gpu
Compare Revisions
- This comparison shows the changes necessary to convert path
/theia_gpu/branches/icarus_version/testbench
- from Rev 193 to Rev 195
- ↔ Reverse comparison
Rev 193 → Rev 195
/TestBench_verilog.v
40,7 → 40,7
`define RESOLUTION_HEIGHT (rSceneParameters[14] >> `SCALE) |
`define DELTA_ROW (32'h1 << `SCALE) |
`define DELTA_COL (32'h1 << `SCALE) |
`define TEXTURE_BUFFER_SIZE (256*256*3) |
|
`define MAX_WIDTH 200 |
`define MAX_SCREENBUFFER (`MAX_WIDTH*`MAX_WIDTH*3) |
module TestBench_Theia; |
67,10 → 67,10
reg TMWE_O; |
reg [31:0] rControlRegister[2:0]; |
integer file, log; |
reg [31:0] rSceneParameters[512:0]; |
reg [31:0] rVertexBuffer[7000:0]; |
reg [31:0] rSceneParameters[`PARAMS_ARRAY_SIZE-1:0]; |
reg [31:0] rVertexBuffer[`VERTEX_ARRAY_SIZE-1:0]; |
reg [31:0] rInstructionBuffer[512:0]; |
reg [31:0] rTextures[`TEXTURE_BUFFER_SIZE:0]; //Lets asume we use 256*256 textures |
reg [31:0] rTextures[`TEXTURE_BUFFER_SIZE-1:0]; //Lets asume we use 256*256 textures |
reg [7:0] rScreen[`MAX_SCREENBUFFER-1:0]; |
|
wire wDone; |
85,11 → 85,11
wire STDONE_O; |
wire wGPUCommitedResults; |
wire wHostDataAvailable; |
|
|
wire[`WB_WIDTH-1:0] wHostReadAddress; |
|
|
wire[`WB_WIDTH-1:0] wHostReadAddress; |
wire[`WB_WIDTH-1:0] wMemorySize; |
wire[1:0] wMemSelect; |
wire[1:0] wMemSelect; |
|
MUXFULLPARALELL_2SEL_GENERIC # ( `WB_WIDTH ) MUX2 |
( |
99,9 → 99,9
.I3( rVertexBuffer[0] ), |
.I4(0), |
.O1(wMemorySize) |
); |
|
); |
|
|
//--------------------------------------------- |
top Top |
( |
109,7 → 109,7
.Reset( Reset ), |
.iHostEnable( rHostEnable ), |
.oHostReadAddress( wHostReadAddress), |
.iMemorySize( wMemorySize ), |
.iMemorySize( wMemorySize ), |
.oMemSelect( wMemSelect ), |
.iInstruction( rInstructionBuffer[wHostReadAddress] ), |
.iParameter( rSceneParameters[wHostReadAddress] ), |
119,10 → 119,10
.iTMEMAdr( TMADR_O ), |
.iTMEMData( TMDAT_O ), |
.iTMEM_WE( TMWE_O ), |
.iTMEM_Sel( TMSEL_O ), |
.iOMEMBankSelect( wOMEMBankSelect ), |
.iTMEM_Sel( TMSEL_O ), |
.iOMEMBankSelect( wOMEMBankSelect ), |
.iOMEMReadAddress( wOMEMReadAddr ), |
.oOMEMData( wOMEMData ), |
.oOMEMData( wOMEMData ), |
|
.iWidth(`RESOLUTION_WIDTH), |
.iHeight(`RESOLUTION_HEIGHT), |
153,6 → 153,7
|
if (wDone == 1'b1) |
begin |
$fwrite(log, "Simulation end time : %dns\n",$time); |
|
$display("Partition Size = %d",`PARTITION_SIZE); |
for (kk = 0; kk < `MAX_CORES; kk = kk+1) |
180,7 → 181,7
|
|
$fclose(out2); |
$fwrite(log, "Simulation end time : %dns\n",$time); |
|
$fclose(log); |
|
|
271,15 → 272,15
$fflush; |
end |
end |
|
$display("\nDone Intilializing TMEM @ %dns",$time); |
TMWE_O = 0; |
rHostEnable = 1; |
|
|
log = $fopen("Simulation.log"); |
$fwrite(log, "Simulation start time : %dns\n",$time); |
$fwrite(log, "Width : %d\n",`RESOLUTION_WIDTH); |
$fwrite(log, "Height : %d\n",`RESOLUTION_HEIGHT); |
|
|
//Start dumping VCD |
$display("-I- Starting VCD Dump\n"); |
$dumpfile("TestBench_Theia.vcd"); |