OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /theia_gpu/branches/icarus_version
    from Rev 192 to Rev 193
    Reverse comparison

Rev 192 → Rev 193

/testbench/TestBench_verilog.v
67,7 → 67,7
reg TMWE_O;
reg [31:0] rControlRegister[2:0];
integer file, log;
reg [31:0] rSceneParameters[120:0];
reg [31:0] rSceneParameters[512:0];
reg [31:0] rVertexBuffer[7000:0];
reg [31:0] rInstructionBuffer[512:0];
reg [31:0] rTextures[`TEXTURE_BUFFER_SIZE:0]; //Lets asume we use 256*256 textures
282,8 → 282,8
//Start dumping VCD
$display("-I- Starting VCD Dump\n");
// $dumpfile("TestBench_Theia.vcd");
// $dumpvars(0,TestBench_Theia);
$dumpfile("TestBench_Theia.vcd");
$dumpvars(0,TestBench_Theia);
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.