OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /theia_gpu/branches
    from Rev 195 to Rev 196
    Reverse comparison

Rev 195 → Rev 196

/icarus_version/scripts/run_regressions.pl
114,7 → 114,7
";
chdir "../simulation";
if ( system("make compile GPUCORES=$CoreCount GPUMEMBANKS=$MemBankCount WIDTH=$Width HEIGHT=$Height") != 0)
if ( system("make compile GPUCORES=$CoreCount GPUMEMBANKS=$MemBankCount") != 0)
{
die "-E- Error compiling test code! ($!)\n";
}
145,7 → 145,7
print LOG "Simulation started at: $StartDate $StartTime\n";
#system "$SimulationCommand -tclbatch isim.tcl";
if (system ("perl $ScriptPath/configure_params.pl $CoreCount") != 0)
if (system ("perl $ScriptPath/configure_params.pl $CoreCount $Width $Height") != 0)
{
die "-E- Error configuing scene parameters! ($!)\n";
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.