OpenCores
URL https://opencores.org/ocsvn/tiny_aes/tiny_aes/trunk

Subversion Repositories tiny_aes

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /tiny_aes/trunk/testbench
    from Rev 6 to Rev 9
    Reverse comparison

Rev 6 → Rev 9

/test_aes_128.v
40,7 → 40,13
key = 0;
 
#100;
/*
* TIMEGRP "key" OFFSET = IN 6.4 ns VALID 6 ns AFTER "clk" HIGH;
* TIMEGRP "state" OFFSET = IN 6.4 ns VALID 6 ns AFTER "clk" HIGH;
* TIMEGRP "out" OFFSET = OUT 2.2 ns BEFORE "clk" HIGH;
*/
@ (negedge clk);
# 2;
state = 128'h3243f6a8_885a308d_313198a2_e0370734;
key = 128'h2b7e1516_28aed2a6_abf71588_09cf4f3c;
#10;
/test_aes_192.v
40,7 → 40,13
key = 0;
 
#100;
/*
* TIMEGRP "key" OFFSET = IN 6.4 ns VALID 6 ns AFTER "clk" HIGH;
* TIMEGRP "state" OFFSET = IN 6.4 ns VALID 6 ns AFTER "clk" HIGH;
* TIMEGRP "out" OFFSET = OUT 2.2 ns BEFORE "clk" HIGH;
*/
@ (negedge clk);
#2;
state = 128'h3243f6a8885a308d313198a2e0370734;
key = 192'h2b7e151628aed2a6abf7158809cf4f3c762e7160f38b4da5;
#10;
/test_aes_256.v
40,7 → 40,13
key = 0;
 
#100;
/*
* TIMEGRP "key" OFFSET = IN 6.4 ns VALID 6 ns AFTER "clk" HIGH;
* TIMEGRP "state" OFFSET = IN 6.4 ns VALID 6 ns AFTER "clk" HIGH;
* TIMEGRP "out" OFFSET = OUT 2.2 ns BEFORE "clk" HIGH;
*/
@ (negedge clk);
#2;
state = 128'h3243f6a8885a308d313198a2e0370734;
key = 256'h2b7e151628aed2a6abf7158809cf4f3c_762e7160f38b4da56a784d9045190cfe;
#10;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.