OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /turbo8051/trunk/verif/lib
    from Rev 15 to Rev 74
    Reverse comparison

Rev 15 → Rev 74

/tb_glbl.v
14,22 → 14,26
 
 
task init;
begin
begin
err_count = 32'h0;
warn_count = 32'h0;
end
end
endtask
 
task test_pass;
begin
$display ("\n=========");
$display ("Test Status: TEST PASSED");
$display ("=========\n");
end
endtask
 
task test_fail;
begin
$display ("\n=========");
$display ("Test Status: TEST FAILED");
$display ("=========\n");
end
endtask
48,9 → 52,11
endtask
 
task test_stats;
$display ("\n-------------------------------------------------");
$display ("Test Status");
$display ("warnings: %0d, errors: %0d",warn_count,err_count);
begin
$display ("\n-------------------------------------------------");
$display ("Test Status");
$display ("warnings: %0d, errors: %0d",warn_count,err_count);
end
endtask
 
task test_finish;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.