OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /turbo8051/trunk/verif/lib
    from Rev 74 to Rev 79
    Reverse comparison

Rev 74 → Rev 79

/tb_glbl.v
40,7 → 40,7
task test_err;
begin
err_count = err_count + 1;
$display ("A200 TB => %t ns ERROR :: %m ERROR detected %d ",$time, err_count );
$display ("TB => %t ns ERROR :: %m ERROR detected %d ",$time, err_count );
end
endtask
 
47,7 → 47,7
task test_warn;
begin
warn_count = warn_count + 1;
$display ("A200 TB => %t ns WARNING :: %m Warning %d ",$time, warn_count );
$display ("TB => %t ns WARNING :: %m Warning %d ",$time, warn_count );
end
endtask
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.