OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /turbo8051/trunk/verif/tb
    from Rev 73 to Rev 76
    Reverse comparison

Rev 73 → Rev 76

/tb_top.v
41,7 → 41,6
//////////////////////////////////////////////////////////////////////
 
 
`timescale 1ns/1ps
 
`include "tb_defines.v"
 
160,7 → 159,7
 
//----------------------------------------
 
turbo8051 u_core (
digital_core u_core (
 
. reset_n (reset_n ),
. fastsim_mode (1'b1 ),
194,8 → 193,8
.phy_rxd (phy_rxd ),
 
//MDIO interface
.MDC (MDC ),
.MDIO (MDIO ),
//.MDC (MDC ),
//.MDIO (MDIO ),
 
 
// UART Line Interface
393,6 → 392,13
end
`endif
 
initial begin //{
$display ("--> Dumpping the design");
$shm_open("simvision.shm");
$shm_probe("AC");
end //}
 
 
initial begin
 
if ( $test$plusargs("INTERNAL_ROM") ) begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.