OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /turbo8051/trunk/verif/tb
    from Rev 76 to Rev 79
    Reverse comparison

Rev 76 → Rev 79

/tb_top.v
392,13 → 392,21
end
`endif
 
/***
initial begin //{
$display ("--> Dumpping the design");
$shm_open("simvision.shm");
$shm_probe("AC");
end //}
***/
 
initial begin
$dumpfile("simx.vcd");
$dumpvars(0, tb_top);
end
 
 
 
initial begin
 
if ( $test$plusargs("INTERNAL_ROM") ) begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.