OpenCores
URL https://opencores.org/ocsvn/uart16750/uart16750/trunk

Subversion Repositories uart16750

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /uart16750/trunk/sim/rtl_sim/bin
    from Rev 23 to Rev 25
    Reverse comparison

Rev 23 → Rev 25

/uart_test_stim.pl
37,6 → 37,7
sub uart_write($$); # Address, Data
sub uart_read($$); # Address, Expected data
sub uart_setbaudrate($); # Baudrate
sub uart_eu_send($); # Send serial data from external UART
 
##################################################################
# Main process
800,8 → 801,6
if (TEST_AFC) {
uart_check_afc ();
}
 
logmessage ("UART: Test end");
 
##################################################################
# End main process
932,3 → 931,9
}
}
 
# Send serial data from external UART
sub uart_eu_send($)
{
printf ("#EUS 8 %d\n", $_[0]);
}
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.