URL
https://opencores.org/ocsvn/utosnet/utosnet/trunk
Subversion Repositories utosnet
Compare Revisions
- This comparison shows the changes necessary to convert path
/utosnet
- from Rev 3 to Rev 4
- ↔ Reverse comparison
Rev 3 → Rev 4
/trunk/gateware/uTosNet_example/uTosNet_spi/top.vhd
5,6 → 5,7
-- Create Date: 19/03/2010 |
-- Design Name: uTosNet_spi Example |
-- Module Name: top - Behavioral |
-- File Name: top.vhd |
-- Project Name: uTosNet |
-- Target Devices: SDU XC3S50AN Board |
-- Tool versions: Xilinx ISE 11.4 |
14,7 → 15,25
-- Revision: |
-- Revision 0.10 - Initial release |
-- |
-- Copyright 2010 |
-- |
-- This file is part of the uTosNet_spi Example |
-- |
-- The uTosNet_spi Example is free software: you can redistribute it |
-- and/or modify it under the terms of the GNU Lesser General Public License as |
-- published by the Free Software Foundation, either version 3 of the License, |
-- or (at your option) any later version. |
-- |
-- The uTosNet_spi Example is distributed in the hope that it will be |
-- useful, but WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with the uTosNet_spi Example. If not, see |
-- <http://www.gnu.org/licenses/>. |
---------------------------------------------------------------------------------- |
|
library IEEE; |
use IEEE.STD_LOGIC_1164.ALL; |
use IEEE.STD_LOGIC_ARITH.ALL; |
37,12 → 56,12
architecture Behavioral of top is |
|
component uTosNet_spi is |
Port ( clk_50M : in STD_LOGIC; |
spi_miso : out STD_LOGIC; |
spi_mosi : in STD_LOGIC; |
spi_clk : in STD_LOGIC; |
Port ( clk_50M : in STD_LOGIC; |
spi_miso : out STD_LOGIC; |
spi_mosi : in STD_LOGIC; |
spi_clk : in STD_LOGIC; |
spi_en : in STD_LOGIC; |
dataReg_addr : in STD_LOGIC_VECTOR(5 downto 0); |
dataReg_addr : in STD_LOGIC_VECTOR(5 downto 0); |
dataReg_dataIn : in STD_LOGIC_VECTOR(31 downto 0); |
dataReg_dataOut : out STD_LOGIC_VECTOR(31 downto 0); |
dataReg_clk : in STD_LOGIC; |
/trunk/gateware/uTosNet_example/uTosNet_spi/transcript
0,0 → 1,12
# Reading C:/Xilinx/modeltech_6.6/tcl/vsim/pref.tcl |
# // ModelSim SE 6.6 Jan 5 2010 |
# // |
# // Copyright 1991-2010 Mentor Graphics Corporation |
# // All Rights Reserved. |
# // |
# // THIS WORK CONTAINS TRADE SECRET AND |
# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY |
# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS |
# // AND IS SUBJECT TO LICENSE TERMS. |
# // |
# OpenFile D:/openCores/utosnet/trunk/gateware/uTosNet_example/uTosNet_spi/top.vhd |
/trunk/gateware/uTosNet_example/uTosNet_spi/uTosNet_spi.vhd
1,13 → 1,14
---------------------------------------------------------------------------------- |
-- Company: University of Southern Denmark |
-- Engineer: Simon Falsig |
-- |
-- Create Date: 19/3/2010 |
-- Design Name: uTosNet |
-- Module Name: uTosNet_spi - Behavioral |
-- Project Name: uTosNet |
-- Target Devices: SDU XC3S50AN Board |
-- Tool versions: Xilinx ISE 11.4 |
---------------------------------------------------------------------------------- |
-- Company: University of Southern Denmark |
-- Engineer: Simon Falsig |
-- |
-- Create Date: 19/3/2010 |
-- Design Name: uTosNet |
-- Module Name: uTosNet_spi - Behavioral |
-- File Name: utosnet_spi.vhd |
-- Project Name: uTosNet |
-- Target Devices: SDU XC3S50AN Board |
-- Tool versions: Xilinx ISE 11.4 |
-- Description: PseudoTosNet is designed to provide an interface similar to |
-- the full-blown TosNet core, but usable on the SDU XC3S50AN |
-- Board. It features a SPI module which is made for use in |
15,35 → 16,50
-- TosNet Masternode application. By using this combination, it |
-- is possible to access the blockram from any Ethernet-enabled |
-- device. |
-- |
-- Revision: |
-- Revision 0.10 - Initial release |
-- |
---------------------------------------------------------------------------------- |
library IEEE; |
use IEEE.STD_LOGIC_1164.ALL; |
use IEEE.STD_LOGIC_ARITH.ALL; |
use IEEE.STD_LOGIC_UNSIGNED.ALL; |
|
---- Uncomment the following library declaration if instantiating |
---- any Xilinx primitives in this code. |
--library UNISIM; |
--use UNISIM.VComponents.all; |
|
entity uTosNet_spi is |
Port ( clk_50M : in STD_LOGIC; |
spi_miso : out STD_LOGIC; |
spi_mosi : in STD_LOGIC; |
spi_clk : in STD_LOGIC; |
-- |
-- Revision: |
-- Revision 0.10 - Initial release |
-- |
-- Copyright 2010 |
-- |
-- This module is free software: you can redistribute it and/or modify |
-- it under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or |
-- (at your option) any later version. |
-- |
-- This module is distributed in the hope that it will be useful, |
-- but WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
-- GNU Lesser General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with this module. If not, see <http://www.gnu.org/licenses/>. |
---------------------------------------------------------------------------------- |
|
library IEEE; |
use IEEE.STD_LOGIC_1164.ALL; |
use IEEE.STD_LOGIC_ARITH.ALL; |
use IEEE.STD_LOGIC_UNSIGNED.ALL; |
|
---- Uncomment the following library declaration if instantiating |
---- any Xilinx primitives in this code. |
--library UNISIM; |
--use UNISIM.VComponents.all; |
|
entity uTosNet_spi is |
Port ( clk_50M : in STD_LOGIC; |
spi_miso : out STD_LOGIC; |
spi_mosi : in STD_LOGIC; |
spi_clk : in STD_LOGIC; |
spi_en : in STD_LOGIC; |
dataReg_addr : in STD_LOGIC_VECTOR(5 downto 0); |
dataReg_addr : in STD_LOGIC_VECTOR(5 downto 0); |
dataReg_dataIn : in STD_LOGIC_VECTOR(31 downto 0); |
dataReg_dataOut : out STD_LOGIC_VECTOR(31 downto 0); |
dataReg_clk : in STD_LOGIC; |
dataReg_writeEnable : in STD_LOGIC); |
end uTosNet_spi; |
|
architecture Behavioral of uTosNet_spi is |
end uTosNet_spi; |
|
architecture Behavioral of uTosNet_spi is |
|
component dataRegister |
Port ( clka : in STD_LOGIC; |
58,28 → 74,28
doutb : out STD_LOGIC_VECTOR(31 downto 0)); |
end component; |
|
signal int_dataReg_dataIn : STD_LOGIC_VECTOR(31 downto 0); |
signal int_dataReg_addr : STD_LOGIC_VECTOR(5 downto 0); |
signal int_dataReg_dataOut : STD_LOGIC_VECTOR(31 downto 0); |
signal int_dataReg_we : STD_LOGIC_VECTOR(0 downto 0); |
signal int_dataReg_dataIn : STD_LOGIC_VECTOR(31 downto 0); |
signal int_dataReg_addr : STD_LOGIC_VECTOR(5 downto 0); |
signal int_dataReg_dataOut : STD_LOGIC_VECTOR(31 downto 0); |
signal int_dataReg_we : STD_LOGIC_VECTOR(0 downto 0); |
signal int_dataReg_clk : STD_LOGIC; |
|
signal dataReg_writeEnable_V : STD_LOGIC_VECTOR(0 downto 0); |
|
signal readData : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); |
signal writeAddress : STD_LOGIC_VECTOR(5 downto 0) := (others => '0'); |
signal readAddress : STD_LOGIC_VECTOR(5 downto 0) := (others => '0'); |
signal doWrite : STD_LOGIC := '0'; |
signal doRead : STD_LOGIC := '0'; |
signal dataReg_writeEnable_V : STD_LOGIC_VECTOR(0 downto 0); |
|
signal int_spi_mosi : STD_LOGIC; |
signal int_spi_clk : STD_LOGIC; |
signal int_spi_en : STD_LOGIC; |
signal last_spi_clk : STD_LOGIC; |
|
signal dataInBuffer : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); |
signal dataOutBuffer : STD_LOGIC_VECTOR(31 downto 0) := (others => '1'); |
|
signal readData : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); |
signal writeAddress : STD_LOGIC_VECTOR(5 downto 0) := (others => '0'); |
signal readAddress : STD_LOGIC_VECTOR(5 downto 0) := (others => '0'); |
signal doWrite : STD_LOGIC := '0'; |
signal doRead : STD_LOGIC := '0'; |
|
signal int_spi_mosi : STD_LOGIC; |
signal int_spi_clk : STD_LOGIC; |
signal int_spi_en : STD_LOGIC; |
signal last_spi_clk : STD_LOGIC; |
|
signal dataInBuffer : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); |
signal dataOutBuffer : STD_LOGIC_VECTOR(31 downto 0) := (others => '1'); |
|
signal bitCounter : STD_LOGIC_VECTOR(6 downto 0) := (others => '0'); |
|
begin |
98,77 → 114,77
dinb => int_dataReg_dataIn, -- |
doutb => int_dataReg_dataOut); -- |
|
--Synchronize inputs |
process(clk_50M) |
begin |
if(clk_50M = '0' and clk_50M'event) then |
int_spi_mosi <= spi_mosi; |
int_spi_clk <= spi_clk; |
int_spi_en <= spi_en; |
end if; |
end process; |
--Synchronize inputs |
process(clk_50M) |
begin |
if(clk_50M = '0' and clk_50M'event) then |
int_spi_mosi <= spi_mosi; |
int_spi_clk <= spi_clk; |
int_spi_en <= spi_en; |
end if; |
end process; |
|
--SPI Process |
process(clk_50M) |
begin |
if(clk_50M = '1' and clk_50M'event) then |
last_spi_clk <= int_spi_clk; --Save current value to use for manual edge triggering |
--SPI Process |
process(clk_50M) |
begin |
if(clk_50M = '1' and clk_50M'event) then |
last_spi_clk <= int_spi_clk; --Save current value to use for manual edge triggering |
|
if(int_spi_en = '1') then --SPI is not enabled (spi_en is active low) |
bitCounter <= (others => '0'); --Reset the bitcounter |
|
if((doWrite = '1') and (int_dataReg_we = "0")) then --If a write was requested in the previously received command, |
int_dataReg_addr <= writeAddress; -- then prepare it, |
int_dataReg_dataIn <= dataInBuffer; -- the data to write are those left in the input buffer, |
int_dataReg_we <= "1"; -- |
int_dataReg_clk <= '0'; -- |
elsif((doWrite = '1') and (int_dataReg_clk = '0')) then -- |
int_dataReg_clk <= '1'; -- and perform it by pulling the dataReg clock high |
doWrite <= '0'; --Write is done |
else --If there aren't any writes to perform, |
int_dataReg_clk <= '0'; -- just clear the various signals |
int_dataReg_we <= "0"; -- |
doRead <= '0'; -- |
if(int_spi_en = '1') then --SPI is not enabled (spi_en is active low) |
bitCounter <= (others => '0'); --Reset the bitcounter |
|
if((doWrite = '1') and (int_dataReg_we = "0")) then --If a write was requested in the previously received command, |
int_dataReg_addr <= writeAddress; -- then prepare it, |
int_dataReg_dataIn <= dataInBuffer; -- the data to write are those left in the input buffer, |
int_dataReg_we <= "1"; -- |
int_dataReg_clk <= '0'; -- |
elsif((doWrite = '1') and (int_dataReg_clk = '0')) then -- |
int_dataReg_clk <= '1'; -- and perform it by pulling the dataReg clock high |
doWrite <= '0'; --Write is done |
else --If there aren't any writes to perform, |
int_dataReg_clk <= '0'; -- just clear the various signals |
int_dataReg_we <= "0"; -- |
doRead <= '0'; -- |
doWrite <= '0'; -- |
end if; |
else --SPI is enabled |
if(int_spi_clk = '0' and last_spi_clk = '1') then --Falling edge on spi_clk |
dataInBuffer <= dataInBuffer(30 downto 0) & int_spi_mosi; --Read next received bit into the input buffer, |
bitCounter <= bitCounter + 1; -- and increment the bitcounter |
elsif(int_spi_clk = '1' and last_spi_clk = '0') then --Rising edge on spi_clk |
spi_miso <= dataOutBuffer(31); --Write out the next bit from the output buffer, |
dataOutBuffer <= dataOutBuffer(30 downto 0) & '0'; -- and left-shift the buffer |
end if; |
|
case bitCounter is --Parse the command |
when "0000101" => --Bit 27 (the 5th read bit), |
doRead <= dataInBuffer(0); -- contains the 'doRead' flag |
when "0010000" => --Bits 16-25 (available when 16 bits have been read), |
readAddress <= dataInBuffer(5 downto 0); -- contain the address to read from |
when "0010001" => --Bit 15 (the 17th read bit), |
int_dataReg_addr <= readAddress; -- doesn't contain anything useful, but we can easily use the timeslot for reading from the dataregister |
int_dataReg_we <= "0"; -- |
int_dataReg_clk <= '0'; -- |
when "0010010" => --Bit 14 (the 18th read bit), |
int_dataReg_clk <= '1'; -- still nothing, now performing the read by pulling the dataregister clock high |
when "0010011" => --Bit 13 (the 19th read bit), |
int_dataReg_clk <= '0'; -- the read is finished, |
readData <= int_dataReg_dataOut; -- and the read value is stored |
when "0010101" => --Bit 11 (the 21st read bit), |
doWrite <= dataInBuffer(0); -- contains the 'doWrite' flag |
when "0011111" => --Bit 1 (the 31st read bit), |
if(doRead = '1') then -- we're not using this bit for anything right now, but we need to put the previously read data value into the output buffer now |
dataOutBuffer <= readData; -- |
else --If a read was not requested, |
dataOutBuffer <= (others => '0'); -- the output buffer is just filled with zeros instead |
end if; |
when "0100000" => --Bits 9-0 (available when 32 bits have been read), |
writeAddress <= dataInBuffer(5 downto 0); -- contain the address to write to |
when others => --Other bit positions are ignored |
end case; |
end if; |
end if; |
else --SPI is enabled |
if(int_spi_clk = '0' and last_spi_clk = '1') then --Falling edge on spi_clk |
dataInBuffer <= dataInBuffer(30 downto 0) & int_spi_mosi; --Read next received bit into the input buffer, |
bitCounter <= bitCounter + 1; -- and increment the bitcounter |
elsif(int_spi_clk = '1' and last_spi_clk = '0') then --Rising edge on spi_clk |
spi_miso <= dataOutBuffer(31); --Write out the next bit from the output buffer, |
dataOutBuffer <= dataOutBuffer(30 downto 0) & '0'; -- and left-shift the buffer |
end if; |
|
case bitCounter is --Parse the command |
when "0000101" => --Bit 27 (the 5th read bit), |
doRead <= dataInBuffer(0); -- contains the 'doRead' flag |
when "0010000" => --Bits 16-25 (available when 16 bits have been read), |
readAddress <= dataInBuffer(5 downto 0); -- contain the address to read from |
when "0010001" => --Bit 15 (the 17th read bit), |
int_dataReg_addr <= readAddress; -- doesn't contain anything useful, but we can easily use the timeslot for reading from the dataregister |
int_dataReg_we <= "0"; -- |
int_dataReg_clk <= '0'; -- |
when "0010010" => --Bit 14 (the 18th read bit), |
int_dataReg_clk <= '1'; -- still nothing, now performing the read by pulling the dataregister clock high |
when "0010011" => --Bit 13 (the 19th read bit), |
int_dataReg_clk <= '0'; -- the read is finished, |
readData <= int_dataReg_dataOut; -- and the read value is stored |
when "0010101" => --Bit 11 (the 21st read bit), |
doWrite <= dataInBuffer(0); -- contains the 'doWrite' flag |
when "0011111" => --Bit 1 (the 31st read bit), |
if(doRead = '1') then -- we're not using this bit for anything right now, but we need to put the previously read data value into the output buffer now |
dataOutBuffer <= readData; -- |
else --If a read was not requested, |
dataOutBuffer <= (others => '0'); -- the output buffer is just filled with zeros instead |
end if; |
when "0100000" => --Bits 9-0 (available when 32 bits have been read), |
writeAddress <= dataInBuffer(5 downto 0); -- contain the address to write to |
when others => --Other bit positions are ignored |
end case; |
end if; |
|
end if; |
end process; |
|
end Behavioral; |
end if; |
end process; |
|
end Behavioral; |
/trunk/gateware/uTosNet_example/uTosNet_spi/uTosNet_spi_xc3s50an.ucf
1,3 → 1,31
# |
# uTosNet_spi Example |
# |
# uTosNet_spi_xc3s50an.ucf |
# File created by: |
# Simon Falsig |
# University of Southern Denmark |
# Copyright 2010 |
# |
# This file is part of the uTosNet_spi Example |
# |
# The uTosNet_spi Example is free software: you can redistribute it |
# and/or modify it under the terms of the GNU Lesser General Public License as |
# published by the Free Software Foundation, either version 3 of the License, |
# or (at your option) any later version. |
# |
# The uTosNet_spi Example is distributed in the hope that it will be |
# useful, but WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with the uTosNet_spi Example. If not, see |
# <http://www.gnu.org/licenses/>. |
# |
|
|
|
NET "CLK_50M_I" LOC = P124; |
NET "CLK_50M_I" IOSTANDARD = LVTTL; |
NET "LEDS_O[0]" LOC = P10; |
/trunk/gateware/uTosNet_example/uTosNet_uart/top.vhd
5,6 → 5,7
-- Create Date: 19/03/2010 |
-- Design Name: uTosNet_uart Example |
-- Module Name: top - Behavioral |
-- File Name: top.vhd |
-- Project Name: uTosNet |
-- Target Devices: SDU XC3S50AN Board |
-- Tool versions: Xilinx ISE 11.4 |
14,7 → 15,25
-- Revision: |
-- Revision 0.10 - Initial release |
-- |
-- Copyright 2010 |
-- |
-- This file is part of the uTosNet_spi Example |
-- |
-- The uTosNet_uart Example is free software: you can redistribute it |
-- and/or modify it under the terms of the GNU Lesser General Public License as |
-- published by the Free Software Foundation, either version 3 of the License, |
-- or (at your option) any later version. |
-- |
-- The uTosNet_uart Example is distributed in the hope that it will be |
-- useful, but WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with the uTosNet_uart Example. If not, see |
-- <http://www.gnu.org/licenses/>. |
---------------------------------------------------------------------------------- |
|
library IEEE; |
use IEEE.STD_LOGIC_1164.ALL; |
use IEEE.STD_LOGIC_ARITH.ALL; |
/trunk/gateware/uTosNet_example/uTosNet_uart/uTosNet_uart.vhd
5,6 → 5,7
-- Create Date: 19/03/2010 |
-- Design Name: uTosNet |
-- Module Name: uTosNet_usb - Behavioral |
-- File Name: uTosNet_uart.vhd |
-- Project Name: uTosNet |
-- Target Devices: SDU XC3S50AN Board |
-- Tool versions: Xilinx ISE 11.4 |
38,7 → 39,22
-- Revision: |
-- Revision 0.10 - Initial release |
-- |
-- Copyright 2010 |
-- |
-- This module is free software: you can redistribute it and/or modify |
-- it under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or |
-- (at your option) any later version. |
-- |
-- This module is distributed in the hope that it will be useful, |
-- but WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
-- GNU Lesser General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with this module. If not, see <http://www.gnu.org/licenses/>. |
---------------------------------------------------------------------------------- |
|
library IEEE; |
use IEEE.STD_LOGIC_1164.ALL; |
use IEEE.STD_LOGIC_ARITH.ALL; |
/trunk/gateware/uTosNet_example/uTosNet_uart/uTosNet_uart_xc3s50an.ucf
1,3 → 1,31
# |
# uTosNet_uart Example |
# |
# uTosNet_uart_xc3s50an.ucf |
# File created by: |
# Simon Falsig |
# University of Southern Denmark |
# Copyright 2010 |
# |
# This file is part of the uTosNet_uart Example |
# |
# The uTosNet_uart Example is free software: you can redistribute it |
# and/or modify it under the terms of the GNU Lesser General Public License as |
# published by the Free Software Foundation, either version 3 of the License, |
# or (at your option) any later version. |
# |
# The uTosNet_uart Example is distributed in the hope that it will be |
# useful, but WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with the uTosNet_uart Example. If not, see |
# <http://www.gnu.org/licenses/>. |
# |
|
|
|
NET "CLK_50M_I" LOC = P124; |
NET "CLK_50M_I" IOSTANDARD = LVTTL; |
NET "LEDS_O[0]" LOC = P10; |
/trunk/gateware/uTosNet_spi/uTosNet_spi.vhd
1,13 → 1,14
---------------------------------------------------------------------------------- |
-- Company: University of Southern Denmark |
-- Engineer: Simon Falsig |
-- |
-- Create Date: 19/3/2010 |
-- Design Name: uTosNet |
-- Module Name: uTosNet_spi - Behavioral |
-- Project Name: uTosNet |
-- Target Devices: SDU XC3S50AN Board |
-- Tool versions: Xilinx ISE 11.4 |
---------------------------------------------------------------------------------- |
-- Company: University of Southern Denmark |
-- Engineer: Simon Falsig |
-- |
-- Create Date: 19/3/2010 |
-- Design Name: uTosNet |
-- Module Name: uTosNet_spi - Behavioral |
-- File Name: utosnet_spi.vhd |
-- Project Name: uTosNet |
-- Target Devices: SDU XC3S50AN Board |
-- Tool versions: Xilinx ISE 11.4 |
-- Description: PseudoTosNet is designed to provide an interface similar to |
-- the full-blown TosNet core, but usable on the SDU XC3S50AN |
-- Board. It features a SPI module which is made for use in |
15,35 → 16,50
-- TosNet Masternode application. By using this combination, it |
-- is possible to access the blockram from any Ethernet-enabled |
-- device. |
-- |
-- Revision: |
-- Revision 0.10 - Initial release |
-- |
---------------------------------------------------------------------------------- |
library IEEE; |
use IEEE.STD_LOGIC_1164.ALL; |
use IEEE.STD_LOGIC_ARITH.ALL; |
use IEEE.STD_LOGIC_UNSIGNED.ALL; |
|
---- Uncomment the following library declaration if instantiating |
---- any Xilinx primitives in this code. |
--library UNISIM; |
--use UNISIM.VComponents.all; |
|
entity uTosNet_spi is |
Port ( clk_50M : in STD_LOGIC; |
spi_miso : out STD_LOGIC; |
spi_mosi : in STD_LOGIC; |
spi_clk : in STD_LOGIC; |
-- |
-- Revision: |
-- Revision 0.10 - Initial release |
-- |
-- Copyright 2010 |
-- |
-- This module is free software: you can redistribute it and/or modify |
-- it under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or |
-- (at your option) any later version. |
-- |
-- This module is distributed in the hope that it will be useful, |
-- but WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
-- GNU Lesser General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with this module. If not, see <http://www.gnu.org/licenses/>. |
---------------------------------------------------------------------------------- |
|
library IEEE; |
use IEEE.STD_LOGIC_1164.ALL; |
use IEEE.STD_LOGIC_ARITH.ALL; |
use IEEE.STD_LOGIC_UNSIGNED.ALL; |
|
---- Uncomment the following library declaration if instantiating |
---- any Xilinx primitives in this code. |
--library UNISIM; |
--use UNISIM.VComponents.all; |
|
entity uTosNet_spi is |
Port ( clk_50M : in STD_LOGIC; |
spi_miso : out STD_LOGIC; |
spi_mosi : in STD_LOGIC; |
spi_clk : in STD_LOGIC; |
spi_en : in STD_LOGIC; |
dataReg_addr : in STD_LOGIC_VECTOR(5 downto 0); |
dataReg_addr : in STD_LOGIC_VECTOR(5 downto 0); |
dataReg_dataIn : in STD_LOGIC_VECTOR(31 downto 0); |
dataReg_dataOut : out STD_LOGIC_VECTOR(31 downto 0); |
dataReg_clk : in STD_LOGIC; |
dataReg_writeEnable : in STD_LOGIC); |
end uTosNet_spi; |
|
architecture Behavioral of uTosNet_spi is |
end uTosNet_spi; |
|
architecture Behavioral of uTosNet_spi is |
|
component dataRegister |
Port ( clka : in STD_LOGIC; |
58,28 → 74,28
doutb : out STD_LOGIC_VECTOR(31 downto 0)); |
end component; |
|
signal int_dataReg_dataIn : STD_LOGIC_VECTOR(31 downto 0); |
signal int_dataReg_addr : STD_LOGIC_VECTOR(5 downto 0); |
signal int_dataReg_dataOut : STD_LOGIC_VECTOR(31 downto 0); |
signal int_dataReg_we : STD_LOGIC_VECTOR(0 downto 0); |
signal int_dataReg_dataIn : STD_LOGIC_VECTOR(31 downto 0); |
signal int_dataReg_addr : STD_LOGIC_VECTOR(5 downto 0); |
signal int_dataReg_dataOut : STD_LOGIC_VECTOR(31 downto 0); |
signal int_dataReg_we : STD_LOGIC_VECTOR(0 downto 0); |
signal int_dataReg_clk : STD_LOGIC; |
|
signal dataReg_writeEnable_V : STD_LOGIC_VECTOR(0 downto 0); |
|
signal readData : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); |
signal writeAddress : STD_LOGIC_VECTOR(5 downto 0) := (others => '0'); |
signal readAddress : STD_LOGIC_VECTOR(5 downto 0) := (others => '0'); |
signal doWrite : STD_LOGIC := '0'; |
signal doRead : STD_LOGIC := '0'; |
signal dataReg_writeEnable_V : STD_LOGIC_VECTOR(0 downto 0); |
|
signal int_spi_mosi : STD_LOGIC; |
signal int_spi_clk : STD_LOGIC; |
signal int_spi_en : STD_LOGIC; |
signal last_spi_clk : STD_LOGIC; |
|
signal dataInBuffer : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); |
signal dataOutBuffer : STD_LOGIC_VECTOR(31 downto 0) := (others => '1'); |
|
signal readData : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); |
signal writeAddress : STD_LOGIC_VECTOR(5 downto 0) := (others => '0'); |
signal readAddress : STD_LOGIC_VECTOR(5 downto 0) := (others => '0'); |
signal doWrite : STD_LOGIC := '0'; |
signal doRead : STD_LOGIC := '0'; |
|
signal int_spi_mosi : STD_LOGIC; |
signal int_spi_clk : STD_LOGIC; |
signal int_spi_en : STD_LOGIC; |
signal last_spi_clk : STD_LOGIC; |
|
signal dataInBuffer : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); |
signal dataOutBuffer : STD_LOGIC_VECTOR(31 downto 0) := (others => '1'); |
|
signal bitCounter : STD_LOGIC_VECTOR(6 downto 0) := (others => '0'); |
|
begin |
98,77 → 114,77
dinb => int_dataReg_dataIn, -- |
doutb => int_dataReg_dataOut); -- |
|
--Synchronize inputs |
process(clk_50M) |
begin |
if(clk_50M = '0' and clk_50M'event) then |
int_spi_mosi <= spi_mosi; |
int_spi_clk <= spi_clk; |
int_spi_en <= spi_en; |
end if; |
end process; |
--Synchronize inputs |
process(clk_50M) |
begin |
if(clk_50M = '0' and clk_50M'event) then |
int_spi_mosi <= spi_mosi; |
int_spi_clk <= spi_clk; |
int_spi_en <= spi_en; |
end if; |
end process; |
|
--SPI Process |
process(clk_50M) |
begin |
if(clk_50M = '1' and clk_50M'event) then |
last_spi_clk <= int_spi_clk; --Save current value to use for manual edge triggering |
--SPI Process |
process(clk_50M) |
begin |
if(clk_50M = '1' and clk_50M'event) then |
last_spi_clk <= int_spi_clk; --Save current value to use for manual edge triggering |
|
if(int_spi_en = '1') then --SPI is not enabled (spi_en is active low) |
bitCounter <= (others => '0'); --Reset the bitcounter |
|
if((doWrite = '1') and (int_dataReg_we = "0")) then --If a write was requested in the previously received command, |
int_dataReg_addr <= writeAddress; -- then prepare it, |
int_dataReg_dataIn <= dataInBuffer; -- the data to write are those left in the input buffer, |
int_dataReg_we <= "1"; -- |
int_dataReg_clk <= '0'; -- |
elsif((doWrite = '1') and (int_dataReg_clk = '0')) then -- |
int_dataReg_clk <= '1'; -- and perform it by pulling the dataReg clock high |
doWrite <= '0'; --Write is done |
else --If there aren't any writes to perform, |
int_dataReg_clk <= '0'; -- just clear the various signals |
int_dataReg_we <= "0"; -- |
doRead <= '0'; -- |
if(int_spi_en = '1') then --SPI is not enabled (spi_en is active low) |
bitCounter <= (others => '0'); --Reset the bitcounter |
|
if((doWrite = '1') and (int_dataReg_we = "0")) then --If a write was requested in the previously received command, |
int_dataReg_addr <= writeAddress; -- then prepare it, |
int_dataReg_dataIn <= dataInBuffer; -- the data to write are those left in the input buffer, |
int_dataReg_we <= "1"; -- |
int_dataReg_clk <= '0'; -- |
elsif((doWrite = '1') and (int_dataReg_clk = '0')) then -- |
int_dataReg_clk <= '1'; -- and perform it by pulling the dataReg clock high |
doWrite <= '0'; --Write is done |
else --If there aren't any writes to perform, |
int_dataReg_clk <= '0'; -- just clear the various signals |
int_dataReg_we <= "0"; -- |
doRead <= '0'; -- |
doWrite <= '0'; -- |
end if; |
else --SPI is enabled |
if(int_spi_clk = '0' and last_spi_clk = '1') then --Falling edge on spi_clk |
dataInBuffer <= dataInBuffer(30 downto 0) & int_spi_mosi; --Read next received bit into the input buffer, |
bitCounter <= bitCounter + 1; -- and increment the bitcounter |
elsif(int_spi_clk = '1' and last_spi_clk = '0') then --Rising edge on spi_clk |
spi_miso <= dataOutBuffer(31); --Write out the next bit from the output buffer, |
dataOutBuffer <= dataOutBuffer(30 downto 0) & '0'; -- and left-shift the buffer |
end if; |
|
case bitCounter is --Parse the command |
when "0000101" => --Bit 27 (the 5th read bit), |
doRead <= dataInBuffer(0); -- contains the 'doRead' flag |
when "0010000" => --Bits 16-25 (available when 16 bits have been read), |
readAddress <= dataInBuffer(5 downto 0); -- contain the address to read from |
when "0010001" => --Bit 15 (the 17th read bit), |
int_dataReg_addr <= readAddress; -- doesn't contain anything useful, but we can easily use the timeslot for reading from the dataregister |
int_dataReg_we <= "0"; -- |
int_dataReg_clk <= '0'; -- |
when "0010010" => --Bit 14 (the 18th read bit), |
int_dataReg_clk <= '1'; -- still nothing, now performing the read by pulling the dataregister clock high |
when "0010011" => --Bit 13 (the 19th read bit), |
int_dataReg_clk <= '0'; -- the read is finished, |
readData <= int_dataReg_dataOut; -- and the read value is stored |
when "0010101" => --Bit 11 (the 21st read bit), |
doWrite <= dataInBuffer(0); -- contains the 'doWrite' flag |
when "0011111" => --Bit 1 (the 31st read bit), |
if(doRead = '1') then -- we're not using this bit for anything right now, but we need to put the previously read data value into the output buffer now |
dataOutBuffer <= readData; -- |
else --If a read was not requested, |
dataOutBuffer <= (others => '0'); -- the output buffer is just filled with zeros instead |
end if; |
when "0100000" => --Bits 9-0 (available when 32 bits have been read), |
writeAddress <= dataInBuffer(5 downto 0); -- contain the address to write to |
when others => --Other bit positions are ignored |
end case; |
end if; |
end if; |
else --SPI is enabled |
if(int_spi_clk = '0' and last_spi_clk = '1') then --Falling edge on spi_clk |
dataInBuffer <= dataInBuffer(30 downto 0) & int_spi_mosi; --Read next received bit into the input buffer, |
bitCounter <= bitCounter + 1; -- and increment the bitcounter |
elsif(int_spi_clk = '1' and last_spi_clk = '0') then --Rising edge on spi_clk |
spi_miso <= dataOutBuffer(31); --Write out the next bit from the output buffer, |
dataOutBuffer <= dataOutBuffer(30 downto 0) & '0'; -- and left-shift the buffer |
end if; |
|
case bitCounter is --Parse the command |
when "0000101" => --Bit 27 (the 5th read bit), |
doRead <= dataInBuffer(0); -- contains the 'doRead' flag |
when "0010000" => --Bits 16-25 (available when 16 bits have been read), |
readAddress <= dataInBuffer(5 downto 0); -- contain the address to read from |
when "0010001" => --Bit 15 (the 17th read bit), |
int_dataReg_addr <= readAddress; -- doesn't contain anything useful, but we can easily use the timeslot for reading from the dataregister |
int_dataReg_we <= "0"; -- |
int_dataReg_clk <= '0'; -- |
when "0010010" => --Bit 14 (the 18th read bit), |
int_dataReg_clk <= '1'; -- still nothing, now performing the read by pulling the dataregister clock high |
when "0010011" => --Bit 13 (the 19th read bit), |
int_dataReg_clk <= '0'; -- the read is finished, |
readData <= int_dataReg_dataOut; -- and the read value is stored |
when "0010101" => --Bit 11 (the 21st read bit), |
doWrite <= dataInBuffer(0); -- contains the 'doWrite' flag |
when "0011111" => --Bit 1 (the 31st read bit), |
if(doRead = '1') then -- we're not using this bit for anything right now, but we need to put the previously read data value into the output buffer now |
dataOutBuffer <= readData; -- |
else --If a read was not requested, |
dataOutBuffer <= (others => '0'); -- the output buffer is just filled with zeros instead |
end if; |
when "0100000" => --Bits 9-0 (available when 32 bits have been read), |
writeAddress <= dataInBuffer(5 downto 0); -- contain the address to write to |
when others => --Other bit positions are ignored |
end case; |
end if; |
|
end if; |
end process; |
|
end Behavioral; |
end if; |
end process; |
|
end Behavioral; |
/trunk/gateware/uTosNet_uart/transcript
0,0 → 1,12
# Reading C:/Xilinx/modeltech_6.6/tcl/vsim/pref.tcl |
# // ModelSim SE 6.6 Jan 5 2010 |
# // |
# // Copyright 1991-2010 Mentor Graphics Corporation |
# // All Rights Reserved. |
# // |
# // THIS WORK CONTAINS TRADE SECRET AND |
# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY |
# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS |
# // AND IS SUBJECT TO LICENSE TERMS. |
# // |
# OpenFile D:/openCores/utosnet/trunk/gateware/uTosNet_uart/uTosNet_uart.vhd |
/trunk/gateware/uTosNet_uart/uTosNet_uart.vhd
5,6 → 5,7
-- Create Date: 19/03/2010 |
-- Design Name: uTosNet |
-- Module Name: uTosNet_usb - Behavioral |
-- File Name: uTosNet_uart.vhd |
-- Project Name: uTosNet |
-- Target Devices: SDU XC3S50AN Board |
-- Tool versions: Xilinx ISE 11.4 |
38,7 → 39,22
-- Revision: |
-- Revision 0.10 - Initial release |
-- |
-- Copyright 2010 |
-- |
-- This module is free software: you can redistribute it and/or modify |
-- it under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or |
-- (at your option) any later version. |
-- |
-- This module is distributed in the hope that it will be useful, |
-- but WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
-- GNU Lesser General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with this module. If not, see <http://www.gnu.org/licenses/>. |
---------------------------------------------------------------------------------- |
|
library IEEE; |
use IEEE.STD_LOGIC_1164.ALL; |
use IEEE.STD_LOGIC_ARITH.ALL; |
/trunk/software/uTosNet_cmd/uTosNet_cmd.dev
0,0 → 1,78
[Project] |
FileName=uTosNet_cmd.dev |
Name=uTosNet_cmd |
UnitCount=3 |
Type=1 |
Ver=1 |
ObjFiles= |
Includes= |
Libs= |
PrivateResource= |
ResourceIncludes= |
MakeIncludes= |
Compiler= |
CppCompiler= |
Linker= |
IsCpp=1 |
Icon= |
ExeOutput= |
ObjectOutput= |
OverrideOutput=0 |
OverrideOutputName=uTosNet_cmd.exe |
HostApplication= |
Folders= |
CommandLine= |
UseCustomMakefile=0 |
CustomMakefile= |
IncludeVersionInfo=0 |
SupportXPThemes=0 |
CompilerSet=0 |
CompilerSettings=0000000000000000000000 |
|
[Unit1] |
FileName=uTosNet_cmd.h |
CompileCpp=1 |
Folder=GenericMasterNodeTester |
Compile=1 |
Link=1 |
Priority=1000 |
OverrideBuildCmd=0 |
BuildCmd= |
|
[Unit2] |
FileName=uTosNet_cmd.cpp |
CompileCpp=1 |
Folder=GenericMasterNodeTester |
Compile=1 |
Link=1 |
Priority=1000 |
OverrideBuildCmd=0 |
BuildCmd= |
|
[Unit3] |
FileName=uTosNet_cmd.pro |
Folder=GenericMasterNodeTester |
Compile=0 |
Link=0 |
Priority=1000 |
OverrideBuildCmd=0 |
BuildCmd= |
|
[VersionInfo] |
Major=0 |
Minor=1 |
Release=1 |
Build=1 |
LanguageID=1033 |
CharsetID=1252 |
CompanyName= |
FileVersion= |
FileDescription=Developed using the Dev-C++ IDE |
InternalName= |
LegalCopyright= |
LegalTrademarks= |
OriginalFilename= |
ProductName= |
ProductVersion= |
AutoIncBuildNr=0 |
|
/trunk/software/uTosNet_cmd/uTosNet_cmd.pro
0,0 → 1,31
# |
# uTosNet commandline utility |
# |
# uTosNet_cmd.pro |
# File created by: |
# Simon Falsig |
# University of Southern Denmark |
# Copyright 2010 |
# |
# This file is part of the uTosnet commandline utility |
# |
# The uTosnet commandline utility is free software: you can redistribute it |
# and/or modify it under the terms of the GNU Lesser General Public License as |
# published by the Free Software Foundation, either version 3 of the License, |
# or (at your option) any later version. |
# |
# The uTosnet commandline utility is distributed in the hope that it will be |
# useful, but WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with the uTosnet commandline utility. If not, see |
# <http://www.gnu.org/licenses/>. |
# |
|
|
QT += network |
HEADERS = uTosNet_cmd.h |
SOURCES = uTosNet_cmd.cpp |
CONFIG += console |
/trunk/software/uTosNet_cmd/uTosNet_cmd.cpp
0,0 → 1,148
/* |
* uTosNet commandline utility |
|
* uTosNet_cmd.cpp |
* File created by: |
* Simon Falsig |
* University of Southern Denmark |
* Copyright 2010 |
* |
* This file is part of the uTosnet commandline utility |
* |
* The uTosnet commandline utility is free software: you can redistribute it |
* and/or modify it under the terms of the GNU Lesser General Public License as |
* published by the Free Software Foundation, either version 3 of the License, |
* or (at your option) any later version. |
* |
* The uTosnet commandline utility is distributed in the hope that it will be |
* useful, but WITHOUT ANY WARRANTY; without even the implied warranty of |
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser |
* General Public License for more details. |
* |
* You should have received a copy of the GNU Lesser General Public License |
* along with the uTosnet commandline utility. If not, see |
* <http://www.gnu.org/licenses/>. |
*/ |
|
|
#include "uTosNet_cmd.h" |
|
#include <iostream> |
|
using namespace std; |
|
uTosNetCmdClass::uTosNetCmdClass() |
{} |
|
uTosNetCmdClass::~uTosNetCmdClass() |
{} |
|
void uTosNetCmdClass::run(int argc, char *argv[]) |
{ |
int *sendBuffer; |
int *readBuffer; |
|
sendBuffer = new int[2]; |
readBuffer = new int[1]; |
sendBuffer[0] = 0; |
readBuffer[0] = 0; |
|
bool expectAnswer = false; |
|
if(argc >= 4) |
{ |
bool convOk = false; |
int data = 0, size = 0, addr; |
|
addr = QString(argv[3]).toInt(&convOk, 16); //Read in the address to access |
if(!convOk) |
{ |
cout << "Invalid address specified: " << argv[3] << endl; |
return; |
} |
|
switch(argv[2][0]) //Construct the packet depending on whether it is read or a write |
{ |
case 'w': |
case 'W': |
if(argc == 5) //If data is specified, |
{ |
data = QString(argv[4]).toInt(&convOk, 16); // - read it in |
if(!convOk) |
{ |
cout << "Invalid data specified: " << argv[4] << endl; |
return; |
} |
} |
else |
{ |
cout << "No data specified for write operation!" << endl; |
return; |
} |
sendBuffer[1] = data; |
((char*)sendBuffer)[3] = 0; |
((char*)sendBuffer)[2] = 0; |
((char*)sendBuffer)[1] = (1<<3) + (1<<2) + (addr >> 8); |
((char*)sendBuffer)[0] = addr & 0xff; |
size = 8; |
break; |
case 'r': |
case 'R': |
((char*)sendBuffer)[3] = (1<<3) + (1<<2) + (addr >> 8); |
((char*)sendBuffer)[2] = addr & 0xff; |
((char*)sendBuffer)[1] = 0; |
((char*)sendBuffer)[0] = 0; |
expectAnswer = true; |
size = 4; |
break; |
default: |
break; |
} |
|
socket.connectToHost(argv[1], 50000); |
|
if(socket.waitForConnected(10000)) //Connect to specified host, times out if not connected within 10 seconds |
{ |
socket.write((char*)sendBuffer, size); //Send data to host |
|
if(expectAnswer) |
{ |
if(socket.waitForReadyRead(10000)) //Wait for answer from host, times out if no data received within 10 seconds |
{ |
socket.read((char*)readBuffer, 4); |
cout << (readBuffer[0] & 0xffff) << endl; |
} |
} |
} |
else |
{ |
cout << "Could not connect to host: " << argv[1] << endl; |
} |
} |
else |
{ |
cout << "uTosNet commandline utility" << endl << |
"Usage: utosnet_cmd host {W|R} address [data]" << endl << endl << |
" host The IP address of the host to connect to" << endl << |
" W Perform a write operation" << endl << |
" R Perform a read operation" << endl << |
" address The shared memory address to access" << endl << |
" data The data to write (only required when performing a write)" << endl << endl; |
} |
|
return; |
} |
|
int main(int argc, char *argv[]) //Starts the application |
{ |
QApplication app(argc, argv); |
|
uTosNetCmdClass uTosNetCmd; |
|
uTosNetCmd.currentApp = &app; |
|
uTosNetCmd.run(argc, argv); |
|
return 0; |
} |
|
/trunk/software/uTosNet_cmd/uTosNet_cmd.h
0,0 → 1,50
/* |
* uTosNet commandline utility |
|
* uTosNet_cmd.h |
* File created by: |
* Simon Falsig |
* University of Southern Denmark |
* Copyright 2010 |
* |
* This file is part of the uTosnet commandline utility |
* |
* The uTosnet commandline utility is free software: you can redistribute it |
* and/or modify it under the terms of the GNU Lesser General Public License as |
* published by the Free Software Foundation, either version 3 of the License, |
* or (at your option) any later version. |
* |
* The uTosnet commandline utility is distributed in the hope that it will be |
* useful, but WITHOUT ANY WARRANTY; without even the implied warranty of |
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser |
* General Public License for more details. |
* |
* You should have received a copy of the GNU Lesser General Public License |
* along with the uTosnet commandline utility. If not, see |
* <http://www.gnu.org/licenses/>. |
*/ |
|
|
#include <QtNetwork> |
#include <QTcpSocket> |
#include <QApplication> |
|
|
class uTosNetCmdClass : public QObject |
{ |
Q_OBJECT |
|
public: |
uTosNetCmdClass(); |
~uTosNetCmdClass(); |
|
void run(int argc, char *argv[]); |
|
QApplication *currentApp; |
|
public slots: |
|
private: |
QTcpSocket socket; |
|
}; |
/trunk/hardware/Spartan3_50AN_main/KISS_Spartan3.PrjPcb
0,0 → 1,1034
[Design] |
Version=1.0 |
HierarchyMode=0 |
ChannelRoomNamingStyle=0 |
OutputPath=Project Outputs for KISS_Spartan3 |
LogFolderPath= |
ChannelDesignatorFormatString=$Component_$RoomName |
ChannelRoomLevelSeperator=_ |
OpenOutputs=1 |
ArchiveProject=0 |
TimestampOutput=0 |
SeparateFolders=0 |
PinSwapBy_Netlabel=1 |
PinSwapBy_Pin=1 |
AllowPortNetNames=0 |
AllowSheetEntryNetNames=1 |
AppendSheetNumberToLocalNets=0 |
NetlistSinglePinNets=0 |
DefaultConfiguration= |
UserID=0xFFFFFFFF |
DefaultPcbProtel=1 |
DefaultPcbPcad=0 |
ReorderDocumentsOnCompile=1 |
NameNetsHierarchically=0 |
PowerPortNamesTakePriority=0 |
PushECOToAnnotationFile=1 |
DItemRevisionGUID= |
|
[Document1] |
DocumentPath=IO connections.SchDoc |
AnnotationEnabled=1 |
AnnotateStartValue=1 |
AnnotationIndexControlEnabled=0 |
AnnotateSuffix= |
AnnotateScope=All |
AnnotateOrder=2 |
DoLibraryUpdate=1 |
DoDatabaseUpdate=1 |
ClassGenCCAutoEnabled=1 |
ClassGenCCAutoRoomEnabled=1 |
ClassGenNCAutoScope=None |
DItemRevisionGUID= |
|
[Document2] |
DocumentPath=Power.SchDoc |
AnnotationEnabled=1 |
AnnotateStartValue=1 |
AnnotationIndexControlEnabled=0 |
AnnotateSuffix= |
AnnotateScope=All |
AnnotateOrder=1 |
DoLibraryUpdate=1 |
DoDatabaseUpdate=1 |
ClassGenCCAutoEnabled=1 |
ClassGenCCAutoRoomEnabled=1 |
ClassGenNCAutoScope=None |
DItemRevisionGUID= |
|
[Document3] |
DocumentPath=PCB.PcbDoc |
AnnotationEnabled=1 |
AnnotateStartValue=1 |
AnnotationIndexControlEnabled=0 |
AnnotateSuffix= |
AnnotateScope=All |
AnnotateOrder=-1 |
DoLibraryUpdate=1 |
DoDatabaseUpdate=1 |
ClassGenCCAutoEnabled=1 |
ClassGenCCAutoRoomEnabled=1 |
ClassGenNCAutoScope=None |
DItemRevisionGUID= |
|
[Document4] |
DocumentPath=KISS_Spartan3.OutJob |
AnnotationEnabled=1 |
AnnotateStartValue=1 |
AnnotationIndexControlEnabled=0 |
AnnotateSuffix= |
AnnotateScope=All |
AnnotateOrder=-1 |
DoLibraryUpdate=1 |
DoDatabaseUpdate=1 |
ClassGenCCAutoEnabled=1 |
ClassGenCCAutoRoomEnabled=1 |
ClassGenNCAutoScope=None |
DItemRevisionGUID= |
|
[GeneratedDocument1] |
DocumentPath=PCB.PcbDoc.htm |
DItemRevisionGUID= |
|
[Generic_SmartPDF] |
AutoOpenFile=-1 |
AutoOpenOutJob=-1 |
|
[Generic_SmartPDFSettings] |
ProjectMode=-1 |
ZoomPrecision=50 |
AddNetsInformation=-1 |
AddNetPins=-1 |
AddNetNetLabels=-1 |
AddNetPorts=-1 |
PCB_PrintColor=0 |
SCH_PrintColor=0 |
SCH_ShowNoErc=-1 |
SCH_ShowParameter=-1 |
SCH_ShowProbes=-1 |
OutputFileName=KISS_Spartan3.PrjPcb=C:\Documents and Settings\caalb\Skrivebord\Diverse PCB projekter\KISS_Spartan3\KISS_Spartan3-2.pdf |
SCH_ExpandLogicalToPhysical=-1 |
SCH_VariantName=[None] |
SCH_ExpandComponentDesignators=-1 |
SCH_ExpandNetlabels=0 |
SCH_ExpandPorts=0 |
SCH_ExpandSheetNumber=0 |
SCH_ExpandDocumentNumber=0 |
SCH_HasExpandLogicalToPhysicalSheets=-1 |
ExportBOM=-1 |
TemplateFilename= |
TemplateStoreRelative=-1 |
SaveSettingsToOutJob=-1 |
|
[OutputGroup1] |
Name=Netlist Outputs |
Description= |
TargetPrinter=HP LaserJet P1005 |
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1 |
OutputType1=EDIF |
OutputName1=EDIF for PCB |
OutputDocumentPath1= |
OutputVariantName1= |
OutputDefault1=0 |
OutputType2=MultiWire |
OutputName2=MultiWire |
OutputDocumentPath2= |
OutputVariantName2= |
OutputDefault2=0 |
OutputType3=Pcad |
OutputName3=Pcad for PCB |
OutputDocumentPath3= |
OutputVariantName3= |
OutputDefault3=0 |
OutputType4=ProtelNetlist |
OutputName4=Protel |
OutputDocumentPath4= |
OutputVariantName4= |
OutputDefault4=0 |
OutputType5=SIMetrixNetlist |
OutputName5=SIMetrix |
OutputDocumentPath5= |
OutputVariantName5= |
OutputDefault5=0 |
OutputType6=SIMPLISNetlist |
OutputName6=SIMPLIS |
OutputDocumentPath6= |
OutputVariantName6= |
OutputDefault6=0 |
OutputType7=Verilog |
OutputName7=Verilog File |
OutputDocumentPath7= |
OutputVariantName7= |
OutputDefault7=0 |
OutputType8=VHDL |
OutputName8=VHDL File |
OutputDocumentPath8= |
OutputVariantName8= |
OutputDefault8=0 |
OutputType9=XSpiceNetlist |
OutputName9=XSpice Netlist |
OutputDocumentPath9= |
OutputVariantName9= |
OutputDefault9=0 |
OutputType10=CadnetixNetlist |
OutputName10=Cadnetix Netlist |
OutputDocumentPath10= |
OutputVariantName10= |
OutputDefault10=0 |
OutputType11=CalayNetlist |
OutputName11=Calay Netlist |
OutputDocumentPath11= |
OutputVariantName11= |
OutputDefault11=0 |
OutputType12=EESofNetlist |
OutputName12=EESof Netlist |
OutputDocumentPath12= |
OutputVariantName12= |
OutputDefault12=0 |
OutputType13=IntergraphNetlist |
OutputName13=Intergraph Netlist |
OutputDocumentPath13= |
OutputVariantName13= |
OutputDefault13=0 |
OutputType14=MentorBoardStationNetlist |
OutputName14=Mentor BoardStation Netlist |
OutputDocumentPath14= |
OutputVariantName14= |
OutputDefault14=0 |
OutputType15=OrCadPCB2Netlist |
OutputName15=Orcad/PCB2 Netlist |
OutputDocumentPath15= |
OutputVariantName15= |
OutputDefault15=0 |
OutputType16=PADSNetlist |
OutputName16=PADS ASCII Netlist |
OutputDocumentPath16= |
OutputVariantName16= |
OutputDefault16=0 |
OutputType17=PCADNetlist |
OutputName17=PCAD Netlist |
OutputDocumentPath17= |
OutputVariantName17= |
OutputDefault17=0 |
OutputType18=PCADnltNetlist |
OutputName18=PCADnlt Netlist |
OutputDocumentPath18= |
OutputVariantName18= |
OutputDefault18=0 |
OutputType19=Protel2Netlist |
OutputName19=Protel2 Netlist |
OutputDocumentPath19= |
OutputVariantName19= |
OutputDefault19=0 |
OutputType20=RacalNetlist |
OutputName20=Racal Netlist |
OutputDocumentPath20= |
OutputVariantName20= |
OutputDefault20=0 |
OutputType21=RINFNetlist |
OutputName21=RINF Netlist |
OutputDocumentPath21= |
OutputVariantName21= |
OutputDefault21=0 |
OutputType22=SciCardsNetlist |
OutputName22=SciCards Netlist |
OutputDocumentPath22= |
OutputVariantName22= |
OutputDefault22=0 |
OutputType23=TangoNetlist |
OutputName23=Tango Netlist |
OutputDocumentPath23= |
OutputVariantName23= |
OutputDefault23=0 |
OutputType24=TelesisNetlist |
OutputName24=Telesis Netlist |
OutputDocumentPath24= |
OutputVariantName24= |
OutputDefault24=0 |
OutputType25=WireListNetlist |
OutputName25=WireList Netlist |
OutputDocumentPath25= |
OutputVariantName25= |
OutputDefault25=0 |
|
[OutputGroup2] |
Name=Simulator Outputs |
Description= |
TargetPrinter=HP LaserJet P1005 |
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1 |
OutputType1=AdvSimNetlist |
OutputName1=Mixed Sim |
OutputDocumentPath1= |
OutputVariantName1= |
OutputDefault1=0 |
Configuration1_Name1=OutputConfigurationParameter1 |
Configuration1_Item1=Record=AdvSimNetlistView|SheetsToNetlist=1|NetlistType=1|AnalysesDataSavedIndex=2|SimView_KeepLastSetup=True|DC_Analysis=False|DC_PrimarySource= |DC_PrimaryStart=0|DC_PrimaryStop=0|DC_PrimaryStep=0|DC_EnableSecondary=False|DC_SecondarySource= |DC_SecondaryStart=0|DC_SecondaryStop=0|DC_SecondaryStep=0|AC_Analysis=True|AC_SweepType=LIN|AC_StartFrequency=1|AC_StopFrequency=1E6|AC_TestPoints=100|AC_FreqDomain=S|TRAN_Analysis=True|TRAN_AlwaysSetDefaults=True|TRAN_DefaultCycles=5|TRAN_DefaultPointsPerCycle=50|TRAN_StartTime=0|TRAN_StopTime=0.005|TRAN_StepTime=2E-5|TRAN_MaxStepTime=2E-5|TRAN_FourierFundFreq=1000|TRAN_FourierNumHarmonics=10|TRAN_FourierAnalysis=False|TRAN_UseInitialConditions=False|TF_Analysis=False|TF_Source= |TF_Reference=0|PZ_Analysis=False|PZ_Node1= |PZ_Node2=0|PZ_Node3= |PZ_Node4=0|PZ_TfType=VOL|PZ_AnalType=PZ|Noise_Analysis=False|Noise_Source= |Noise_Output= |Noise_Reference=0|Noise_Start=0|Noise_Stop=0|Noise_TestPoints=0|Noise_PointsPerSummary=0|Noise_SweepType=LIN|Op_Analysis=True|POP_Analysis=False|POP_TriggerCondition=0_TO_1|POP_MaxPeriod=0.0001|POP_ShowProgress=False|POP_MaxIterations=20|POP_Convergence=1E-14|POP_UseTransientSnapshot=False|POP_OutputCycles=5|POP_CyclesBeforeLaunch=5|ParamSweep_Analysis=False|ParamSweep_Parameter1= |ParamSweep_Start1=0|ParamSweep_Stop1=0|ParamSweep_Step1=0|ParamSweep_SweepType1=False|ParamSweep_SecondaryEnabled=False|ParamSweep_Parameter2= |ParamSweep_Start2=0|ParamSweep_Stop2=0|ParamSweep_Step2=0|ParamSweep_SweepType2=False|TempSweep_Analysis=False|TempSweep_Start=0|TempSweep_Stop=0|TempSweep_Step=0|MonteCarlo_Analysis=False|MonteCarlo_Seed=-1|MonteCarlo_DistributionType=UNIFORM|MonteCarlo_NumberOfRuns=5|MonteCarlo_ResistorTolerance=10%|MonteCarlo_InductorTolerance=10%|MonteCarlo_TransistorTolerance=10%|MonteCarlo_CapacitorTolerance=10%|MonteCarlo_DCSourceTolerance=10%|MonteCarlo_DigitalTpTolerance=10%|OPTION_Method=Trapezoidal|OPTION_DVCC=5.000|OPTION_DVDD=15.00|OPTION_SpiceRefNode=GND |
OutputType2=SIMetrix_Sim |
OutputName2=SIMetrix |
OutputDocumentPath2= |
OutputVariantName2= |
OutputDefault2=0 |
OutputType3=SIMPLIS_Sim |
OutputName3=SIMPLIS |
OutputDocumentPath3= |
OutputVariantName3= |
OutputDefault3=0 |
|
[OutputGroup3] |
Name=Documentation Outputs |
Description= |
TargetPrinter=HP LaserJet P1005 |
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1 |
OutputType1=Composite |
OutputName1=Composite Drawing |
OutputDocumentPath1=C:\Documents and Settings\caalb\Skrivebord\Diverse PCB projekter\KISS_Spartan3\PCB.PcbDoc |
OutputVariantName1= |
OutputDefault1=0 |
PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=2|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=15|PrintQuality=600|MediaType=1|DitherType=10|PaperKind=Letter|PrintScaleMode=0 |
Configuration1_Name1=OutputConfigurationParameter1 |
Configuration1_Item1=PrintArea=DesignExtent|PrintAreaLowerLeftCornerX=0|PrintAreaLowerLeftCornerY=0|PrintAreaUpperRightCornerX=0|PrintAreaUpperRightCornerY=0|Record=PcbPrintView |
Configuration1_Name2=OutputConfigurationParameter2 |
Configuration1_Item2=IncludeBottomLayerComponents=True|IncludeMultiLayerComponents=True|IncludeTopLayerComponents=True|Index=0|Mirror=False|Name=Multilayer Composite Print|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=True|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=False |
Configuration1_Name3=OutputConfigurationParameter3 |
Configuration1_Item3=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=TopOverlay|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name4=OutputConfigurationParameter4 |
Configuration1_Item4=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=BottomOverlay|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name5=OutputConfigurationParameter5 |
Configuration1_Item5=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=TopLayer|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name6=OutputConfigurationParameter6 |
Configuration1_Item6=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=BottomLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name7=OutputConfigurationParameter7 |
Configuration1_Item7=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=Mechanical1|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name8=OutputConfigurationParameter8 |
Configuration1_Item8=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=Mechanical13|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name9=OutputConfigurationParameter9 |
Configuration1_Item9=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=Mechanical15|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name10=OutputConfigurationParameter10 |
Configuration1_Item10=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=MultiLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
OutputType2=Logic Analyser Print |
OutputName2=Logic Analyser Prints |
OutputDocumentPath2= |
OutputVariantName2= |
OutputDefault2=0 |
PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType3=OpenBus Print |
OutputName3=OpenBus Prints |
OutputDocumentPath3= |
OutputVariantName3= |
OutputDefault3=0 |
PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType4=PCB 3D Print |
OutputName4=PCB 3D Prints |
OutputDocumentPath4= |
OutputVariantName4= |
OutputDefault4=0 |
PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType5=PCB Print |
OutputName5=PCB Prints |
OutputDocumentPath5=C:\Documents and Settings\caalb\Skrivebord\Diverse PCB projekter\KISS_Spartan3\PCB.PcbDoc |
OutputVariantName5= |
OutputDefault5=1 |
PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=2|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=0 |
Configuration5_Name1=OutputConfigurationParameter1 |
Configuration5_Item1=PrintArea=DesignExtent|PrintAreaLowerLeftCornerX=0|PrintAreaLowerLeftCornerY=0|PrintAreaUpperRightCornerX=0|PrintAreaUpperRightCornerY=0|Record=PcbPrintView |
Configuration5_Name2=OutputConfigurationParameter2 |
Configuration5_Item2=IncludeBottomLayerComponents=True|IncludeMultiLayerComponents=True|IncludeTopLayerComponents=True|Index=0|Mirror=False|Name=Multilayer Composite Print|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=True|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=False |
Configuration5_Name3=OutputConfigurationParameter3 |
Configuration5_Item3=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=TopOverlay|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration5_Name4=OutputConfigurationParameter4 |
Configuration5_Item4=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=BottomOverlay|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration5_Name5=OutputConfigurationParameter5 |
Configuration5_Item5=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=TopLayer|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration5_Name6=OutputConfigurationParameter6 |
Configuration5_Item6=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=BottomLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration5_Name7=OutputConfigurationParameter7 |
Configuration5_Item7=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=Mechanical1|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration5_Name8=OutputConfigurationParameter8 |
Configuration5_Item8=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=Mechanical13|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration5_Name9=OutputConfigurationParameter9 |
Configuration5_Item9=CArc=Hidden|CFill=Hidden|Comment=Hidden|Coordinate=Hidden|CPad=Hidden|CRegion=Hidden|CText=Hidden|CTrack=Hidden|CVia=Hidden|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Hidden|Dimension=Hidden|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Hidden|FFill=Hidden|FPad=Hidden|FRegion=Hidden|FText=Hidden|FTrack=Hidden|FVia=Hidden|Layer=Mechanical15|Polygon=Hidden|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration5_Name10=OutputConfigurationParameter10 |
Configuration5_Item10=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=MultiLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
OutputType6=Schematic Print |
OutputName6=Schematic Prints |
OutputDocumentPath6=C:\Documents and Settings\caalb\Skrivebord\Diverse PCB projekter\KISS_Spartan3\IO connections.SchDoc |
OutputVariantName6= |
OutputDefault6=0 |
PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
Configuration6_Name1=OutputConfigurationParameter1 |
Configuration6_Item1=Record=SchPrintView|ShowNoERC=True|ShowParamSet=True|ShowProbe=True|ExpandDesignator=True|ExpandNetLabel=False|ExpandPort=False|ExpandSheetNum=False|ExpandDocNum=False |
OutputType7=SimView Print |
OutputName7=SimView Prints |
OutputDocumentPath7= |
OutputVariantName7= |
OutputDefault7=0 |
PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType8=Wave Print |
OutputName8=Wave Prints |
OutputDocumentPath8= |
OutputVariantName8= |
OutputDefault8=0 |
PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType9=WaveSim Print |
OutputName9=WaveSim Prints |
OutputDocumentPath9= |
OutputVariantName9= |
OutputDefault9=0 |
PageOptions9=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
|
[OutputGroup4] |
Name=Assembly Outputs |
Description= |
TargetPrinter=HP LaserJet P1005 |
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1 |
OutputType1=Assembly |
OutputName1=Assembly Drawings |
OutputDocumentPath1= |
OutputVariantName1= |
OutputDefault1=0 |
PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType2=Pick Place |
OutputName2=Generates pick and place files |
OutputDocumentPath2= |
OutputVariantName2= |
OutputDefault2=0 |
OutputType3=Test Points For Assembly |
OutputName3=Test Point Report |
OutputDocumentPath3= |
OutputVariantName3= |
OutputDefault3=0 |
|
[OutputGroup5] |
Name=Fabrication Outputs |
Description= |
TargetPrinter=HP LaserJet P1005 |
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1 |
OutputType1=Drill |
OutputName1=Drill Drawing/Guides |
OutputDocumentPath1= |
OutputVariantName1= |
OutputDefault1=0 |
PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=3.08|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
Configuration1_Name1=OutputConfigurationParameter1 |
Configuration1_Item1=PrintArea=DesignExtent|PrintAreaLowerLeftCornerX=0|PrintAreaLowerLeftCornerY=0|PrintAreaUpperRightCornerX=0|PrintAreaUpperRightCornerY=0|Record=PcbPrintView |
Configuration1_Name2=OutputConfigurationParameter2 |
Configuration1_Item2=IncludeBottomLayerComponents=True|IncludeMultiLayerComponents=True|IncludeTopLayerComponents=True|Index=0|Mirror=False|Name=Drill Drawing For (Top Layer,Bottom Layer)|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=False|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=False |
Configuration1_Name3=OutputConfigurationParameter3 |
Configuration1_Item3=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=DrillDrawing|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name4=OutputConfigurationParameter4 |
Configuration1_Item4=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical1|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name5=OutputConfigurationParameter5 |
Configuration1_Item5=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical13|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name6=OutputConfigurationParameter6 |
Configuration1_Item6=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical15|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration1_Name7=OutputConfigurationParameter7 |
Configuration1_Item7=IncludeBottomLayerComponents=True|IncludeMultiLayerComponents=True|IncludeTopLayerComponents=True|Index=1|Mirror=False|Name=Drill Guide For (Top Layer,Bottom Layer)|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=False|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=False |
Configuration1_Name8=OutputConfigurationParameter8 |
Configuration1_Item8=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=DrillGuide|Polygon=Full|PrintOutIndex=1|Record=PcbPrintLayer |
Configuration1_Name9=OutputConfigurationParameter9 |
Configuration1_Item9=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical1|Polygon=Full|PrintOutIndex=1|Record=PcbPrintLayer |
Configuration1_Name10=OutputConfigurationParameter10 |
Configuration1_Item10=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical13|Polygon=Full|PrintOutIndex=1|Record=PcbPrintLayer |
Configuration1_Name11=OutputConfigurationParameter11 |
Configuration1_Item11=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical15|Polygon=Full|PrintOutIndex=1|Record=PcbPrintLayer |
OutputType2=Mask |
OutputName2=Solder/Paste Mask Prints |
OutputDocumentPath2= |
OutputVariantName2= |
OutputDefault2=0 |
PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType3=Final |
OutputName3=Final Artwork Prints |
OutputDocumentPath3= |
OutputVariantName3= |
OutputDefault3=0 |
PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType4=CompositeDrill |
OutputName4=Composite Drill Drawing |
OutputDocumentPath4= |
OutputVariantName4= |
OutputDefault4=0 |
PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=3.61|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
Configuration4_Name1=OutputConfigurationParameter1 |
Configuration4_Item1=PrintArea=DesignExtent|PrintAreaLowerLeftCornerX=0|PrintAreaLowerLeftCornerY=0|PrintAreaUpperRightCornerX=0|PrintAreaUpperRightCornerY=0|Record=PcbPrintView |
Configuration4_Name2=OutputConfigurationParameter2 |
Configuration4_Item2=IncludeBottomLayerComponents=True|IncludeMultiLayerComponents=True|IncludeTopLayerComponents=True|Index=0|Mirror=False|Name=Combination Drill Guide For (Top Layer,Bottom Layer)|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=False|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=False |
Configuration4_Name3=OutputConfigurationParameter3 |
Configuration4_Item3=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=DrillDrawing|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration4_Name4=OutputConfigurationParameter4 |
Configuration4_Item4=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=DrillGuide|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration4_Name5=OutputConfigurationParameter5 |
Configuration4_Item5=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical1|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration4_Name6=OutputConfigurationParameter6 |
Configuration4_Item6=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical13|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration4_Name7=OutputConfigurationParameter7 |
Configuration4_Item7=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical15|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
OutputType5=NC Drill |
OutputName5=NC Drill Files |
OutputDocumentPath5= |
OutputVariantName5= |
OutputDefault5=0 |
Configuration5_Name1=OutputConfigurationParameter1 |
Configuration5_Item1=BoardEdgeRoutToolDia=2000000|GenerateBoardEdgeRout=False|GenerateDrilledSlotsG85=False|GenerateSeparatePlatedNonPlatedFiles=False|NumberOfDecimals=3|NumberOfUnits=2|OptimizeChangeLocationCommands=True|OriginPosition=Relative|Record=DrillView|Units=Imperial|ZeroesMode=SuppressTrailingZeroes |
OutputType6=ODB |
OutputName6=ODB++ Files |
OutputDocumentPath6= |
OutputVariantName6= |
OutputDefault6=0 |
OutputType7=Plane |
OutputName7=Power-Plane Prints |
OutputDocumentPath7= |
OutputVariantName7= |
OutputDefault7=0 |
PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType8=Test Points |
OutputName8=Test Point Report |
OutputDocumentPath8= |
OutputVariantName8= |
OutputDefault8=0 |
OutputType9=Gerber |
OutputName9=Gerber Files |
OutputDocumentPath9= |
OutputVariantName9= |
OutputDefault9=0 |
Configuration9_Name1=OutputConfigurationParameter1 |
Configuration9_Item1=AddToAllPlots_Mechanical 1=False|AddToAllPlots_Mechanical 10=False|AddToAllPlots_Mechanical 11=False|AddToAllPlots_Mechanical 12=False|AddToAllPlots_Mechanical 13=False|AddToAllPlots_Mechanical 14=False|AddToAllPlots_Mechanical 15=False|AddToAllPlots_Mechanical 16=False|AddToAllPlots_Mechanical 2=False|AddToAllPlots_Mechanical 3=False|AddToAllPlots_Mechanical 4=False|AddToAllPlots_Mechanical 5=False|AddToAllPlots_Mechanical 6=False|AddToAllPlots_Mechanical 7=False|AddToAllPlots_Mechanical 8=False|AddToAllPlots_Mechanical 9=False|CentrePlots=False|DrillDrawingSymbol=GraphicsSymbol|DrillDrawingSymbolSize=500000|EmbeddedApertures=True|FilmBorderSize=10000000|FilmXSize=200000000|FilmYSize=160000000|FlashAllFills=False|FlashPadShapes=True|G54OnApertureChange=False|GenerateDRCRulesFile=True|GenerateReliefShapes=True|GerberUnit=Metric|IncludeUnconnectedMidLayerPads=False|LeadingAndTrailingZeroesMode=SuppressLeadingZeroes|MaxApertureSize=2500000|MinusApertureTolerance=39|MirrorBottomLayerPlot=False|MirrorBottomOverlayPlot=False|MirrorBottomPadMasterPlot=False|MirrorBottomPastePlot=False|MirrorBottomSolderPlot=False|MirrorDrillDrawingPlots=False|MirrorDrillGuidePlots=False|MirrorInternalPlane10Plot=False|MirrorInternalPlane11Plot=False|MirrorInternalPlane12Plot=False|MirrorInternalPlane13Plot=False|MirrorInternalPlane14Plot=False|MirrorInternalPlane15Plot=False|MirrorInternalPlane16Plot=False|MirrorInternalPlane1Plot=False|MirrorInternalPlane2Plot=False|MirrorInternalPlane3Plot=False|MirrorInternalPlane4Plot=False|MirrorInternalPlane5Plot=False|MirrorInternalPlane6Plot=False|MirrorInternalPlane7Plot=False|MirrorInternalPlane8Plot=False|MirrorInternalPlane9Plot=False|MirrorKeepOutLayerPlot=False|MirrorMechanical10Plot=False|MirrorMechanical11Plot=False|MirrorMechanical12Plot=False|MirrorMechanical13Plot=False|MirrorMechanical14Plot=False|MirrorMechanical15Plot=False|MirrorMechanical16Plot=False|MirrorMechanical1Plot=False|MirrorMechanical2Plot=False|MirrorMechanical3Plot=False|MirrorMechanical4Plot=False|MirrorMechanical5Plot=False|MirrorMechanical6Plot=False|MirrorMechanical7Plot=False|MirrorMechanical8Plot=False|MirrorMechanical9Plot=False|MirrorMidLayer10Plot=False|MirrorMidLayer11Plot=False|MirrorMidLayer12Plot=False|MirrorMidLayer13Plot=False|MirrorMidLayer14Plot=False|MirrorMidLayer15Plot=False|MirrorMidLayer16Plot=False|MirrorMidLayer17Plot=False|MirrorMidLayer18Plot=False|MirrorMidLayer19Plot=False|MirrorMidLayer1Plot=False|MirrorMidLayer20Plot=False|MirrorMidLayer21Plot=False|MirrorMidLayer22Plot=False|MirrorMidLayer23Plot=False|MirrorMidLayer24Plot=False|MirrorMidLayer25Plot=False|MirrorMidLayer26Plot=False|MirrorMidLayer27Plot=False|MirrorMidLayer28Plot=False|MirrorMidLayer29Plot=False|MirrorMidLayer2Plot=False|MirrorMidLayer30Plot=False|MirrorMidLayer3Plot=False|MirrorMidLayer4Plot=False|MirrorMidLayer5Plot=False|MirrorMidLayer6Plot=False|MirrorMidLayer7Plot=False|MirrorMidLayer8Plot=False|MirrorMidLayer9Plot=False|MirrorTopLayerPlot=False|MirrorTopOverlayPlot=False|MirrorTopPadMasterPlot=False|MirrorTopPastePlot=False|MirrorTopSolderPlot=False|NumberOfDecimals=2|OptimizeChangeLocationCommands=True|OriginPosition=Absolute|Panelize=False|PlotBottomLayerPlot=True|PlotBottomOverlayPlot=False|PlotBottomPadMasterPlot=False|PlotBottomPastePlot=False|PlotBottomSolderPlot=True|PlotDrillDrawingLayerPair0_HighLayer=Bottom Layer|PlotDrillDrawingLayerPair0_LowLayer=Top Layer|PlotDrillGuideLayerPair0_HighLayer=Bottom Layer|PlotDrillGuideLayerPair0_LowLayer=Top Layer|PlotInternalPlane10Plot=False|PlotInternalPlane11Plot=False|PlotInternalPlane12Plot=False|PlotInternalPlane13Plot=False|PlotInternalPlane14Plot=False|PlotInternalPlane15Plot=False|PlotInternalPlane16Plot=False|PlotInternalPlane1Plot=False|PlotInternalPlane2Plot=False|PlotInternalPlane3Plot=False|PlotInternalPlane4Plot=False|PlotInternalPlane5Plot=False|PlotInternalPlane6Plot=False|PlotInternalPlane7Plot=False|PlotInternalPlane8Plot=False|PlotInternalPlane9Plot=False|PlotKeepOutLayerPlot=False|PlotMechanical10Plot=False|PlotMechanical11Plot=False|PlotMechanical12Plot=False|PlotMechanical13Plot=False|PlotMechanical14Plot=False|PlotMechanical15Plot=False|PlotMechanical16Plot=False|PlotMechanical1Plot=False|PlotMechanical2Plot=False|PlotMechanical3Plot=False|PlotMechanical4Plot=False|PlotMechanical5Plot=False|PlotMechanical6Plot=False|PlotMechanical7Plot=False|PlotMechanical8Plot=False|PlotMechanical9Plot=False|PlotMidLayer10Plot=False|PlotMidLayer11Plot=False|PlotMidLayer12Plot=False|PlotMidLayer13Plot=False|PlotMidLayer14Plot=False|PlotMidLayer15Plot=False|PlotMidLayer16Plot=False|PlotMidLayer17Plot=False|PlotMidLayer18Plot=False|PlotMidLayer19Plot=False|PlotMidLayer1Plot=False|PlotMidLayer20Plot=False|PlotMidLayer21Plot=False|PlotMidLayer22Plot=False|PlotMidLayer23Plot=False|PlotMidLayer24Plot=False|PlotMidLayer25Plot=False|PlotMidLayer26Plot=False|PlotMidLayer27Plot=False|PlotMidLayer28Plot=False|PlotMidLayer29Plot=False|PlotMidLayer2Plot=False|PlotMidLayer30Plot=False|PlotMidLayer3Plot=False|PlotMidLayer4Plot=False|PlotMidLayer5Plot=False|PlotMidLayer6Plot=False|PlotMidLayer7Plot=False|PlotMidLayer8Plot=False|PlotMidLayer9Plot=False|PlotTopLayerPlot=True|PlotTopOverlayPlot=True|PlotTopPadMasterPlot=False|PlotTopPastePlot=False|PlotTopSolderPlot=True|PlotUsedDrillDrawingLayerPairs=False|PlotUsedDrillGuideLayerPairs=False|PlusApertureTolerance=39|Record=GerberView|SoftwareArcs=False|Sorted=False |
|
[OutputGroup6] |
Name=Report Outputs |
Description= |
TargetPrinter=HP LaserJet P1005 |
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1 |
OutputType1=SimpleBOM |
OutputName1=Simple BOM |
OutputDocumentPath1= |
OutputVariantName1= |
OutputDefault1=0 |
Configuration1_Name1=OutputConfigurationParameter1 |
Configuration1_Item1=Record=SimpleBOMView|SimpleBOMMode=0 |
OutputType2=SinglePinNetReporter |
OutputName2=Report Single Pin Nets |
OutputDocumentPath2= |
OutputVariantName2= |
OutputDefault2=0 |
OutputType3=ReportHierarchy |
OutputName3=Report Project Hierarchy |
OutputDocumentPath3= |
OutputVariantName3= |
OutputDefault3=0 |
OutputType4=BOM_PartType |
OutputName4=Bill of Materials |
OutputDocumentPath4= |
OutputVariantName4= |
OutputDefault4=0 |
PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
Configuration4_Name1=Filter |
Configuration4_Item1=545046300E5446696C74657257726170706572000D46696C7465722E416374697665090F46696C7465722E43726974657269610A04000000000000000000 |
Configuration4_Name2=General |
Configuration4_Item2=OpenExported=True|AddToProject=True|ForceFit=False|Database=False|IncludePCBData=False|ShowExportOptions=True|TemplateFilename=|BatchMode=6|FormWidth=1135|FormHeight=640 |
Configuration4_Name3=GroupOrder |
Configuration4_Item3=Value=True|Comment=True|Footprint=True |
Configuration4_Name4=SortOrder |
Configuration4_Item4=Description=Up |
Configuration4_Name5=VisibleOrder |
Configuration4_Item5=Value=50|Designator=351|Footprint=145|Quantity=62|Description=157 |
OutputType5=ComponentCrossReference |
OutputName5=Component Cross Reference Report |
OutputDocumentPath5= |
OutputVariantName5= |
OutputDefault5=0 |
OutputType6=Design Rules Check |
OutputName6=Design Rules Check |
OutputDocumentPath6= |
OutputVariantName6= |
OutputDefault6=0 |
PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType7=Electrical Rules Check |
OutputName7=Electrical Rules Check |
OutputDocumentPath7= |
OutputVariantName7= |
OutputDefault7=0 |
PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType8=Script |
OutputName8=Script Output |
OutputDocumentPath8= |
OutputVariantName8= |
OutputDefault8=0 |
|
[OutputGroup7] |
Name=Other Outputs |
Description= |
TargetPrinter=HP LaserJet P1005 |
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1 |
OutputType1=Text Print |
OutputName1=Text Print |
OutputDocumentPath1= |
OutputVariantName1= |
OutputDefault1=0 |
PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType2=Text Print |
OutputName2=Text Print |
OutputDocumentPath2= |
OutputVariantName2= |
OutputDefault2=0 |
PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType3=Text Print |
OutputName3=Text Print |
OutputDocumentPath3= |
OutputVariantName3= |
OutputDefault3=0 |
PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType4=Text Print |
OutputName4=Text Print |
OutputDocumentPath4= |
OutputVariantName4= |
OutputDefault4=0 |
PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType5=Text Print |
OutputName5=Text Print |
OutputDocumentPath5= |
OutputVariantName5= |
OutputDefault5=0 |
PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType6=Text Print |
OutputName6=Text Print |
OutputDocumentPath6= |
OutputVariantName6= |
OutputDefault6=0 |
PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType7=Text Print |
OutputName7=Text Print |
OutputDocumentPath7= |
OutputVariantName7= |
OutputDefault7=0 |
PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType8=Text Print |
OutputName8=Text Print |
OutputDocumentPath8= |
OutputVariantName8= |
OutputDefault8=0 |
PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType9=Text Print |
OutputName9=Text Print |
OutputDocumentPath9= |
OutputVariantName9= |
OutputDefault9=0 |
PageOptions9=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType10=Text Print |
OutputName10=Text Print |
OutputDocumentPath10= |
OutputVariantName10= |
OutputDefault10=0 |
PageOptions10=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType11=Text Print |
OutputName11=Text Print |
OutputDocumentPath11= |
OutputVariantName11= |
OutputDefault11=0 |
PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType12=Text Print |
OutputName12=Text Print |
OutputDocumentPath12= |
OutputVariantName12= |
OutputDefault12=0 |
PageOptions12=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType13=Text Print |
OutputName13=Text Print |
OutputDocumentPath13= |
OutputVariantName13= |
OutputDefault13=0 |
PageOptions13=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType14=Text Print |
OutputName14=Text Print |
OutputDocumentPath14= |
OutputVariantName14= |
OutputDefault14=0 |
PageOptions14=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType15=Text Print |
OutputName15=Text Print |
OutputDocumentPath15= |
OutputVariantName15= |
OutputDefault15=0 |
PageOptions15=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType16=Text Print |
OutputName16=Text Print |
OutputDocumentPath16= |
OutputVariantName16= |
OutputDefault16=0 |
PageOptions16=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType17=Text Print |
OutputName17=Text Print |
OutputDocumentPath17= |
OutputVariantName17= |
OutputDefault17=0 |
PageOptions17=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType18=Text Print |
OutputName18=Text Print |
OutputDocumentPath18= |
OutputVariantName18= |
OutputDefault18=0 |
PageOptions18=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType19=Text Print |
OutputName19=Text Print |
OutputDocumentPath19= |
OutputVariantName19= |
OutputDefault19=0 |
PageOptions19=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType20=Text Print |
OutputName20=Text Print |
OutputDocumentPath20= |
OutputVariantName20= |
OutputDefault20=0 |
PageOptions20=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType21=Text Print |
OutputName21=Text Print |
OutputDocumentPath21= |
OutputVariantName21= |
OutputDefault21=0 |
PageOptions21=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType22=Text Print |
OutputName22=Text Print |
OutputDocumentPath22= |
OutputVariantName22= |
OutputDefault22=0 |
PageOptions22=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType23=Text Print |
OutputName23=Text Print |
OutputDocumentPath23= |
OutputVariantName23= |
OutputDefault23=0 |
PageOptions23=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType24=Text Print |
OutputName24=Text Print |
OutputDocumentPath24= |
OutputVariantName24= |
OutputDefault24=0 |
PageOptions24=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType25=Text Print |
OutputName25=Text Print |
OutputDocumentPath25= |
OutputVariantName25= |
OutputDefault25=0 |
PageOptions25=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType26=Text Print |
OutputName26=Text Print |
OutputDocumentPath26= |
OutputVariantName26= |
OutputDefault26=0 |
PageOptions26=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType27=Text Print |
OutputName27=Text Print |
OutputDocumentPath27= |
OutputVariantName27= |
OutputDefault27=0 |
PageOptions27=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
OutputType28=Text Print |
OutputName28=Text Print |
OutputDocumentPath28= |
OutputVariantName28= |
OutputDefault28=0 |
PageOptions28=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
|
[Modification Levels] |
Type1=1 |
Type2=1 |
Type3=1 |
Type4=1 |
Type5=1 |
Type6=1 |
Type7=1 |
Type8=1 |
Type9=1 |
Type10=1 |
Type11=1 |
Type12=1 |
Type13=1 |
Type14=1 |
Type15=1 |
Type16=1 |
Type17=1 |
Type18=1 |
Type19=1 |
Type20=1 |
Type21=1 |
Type22=1 |
Type23=1 |
Type24=1 |
Type25=1 |
Type26=1 |
Type27=1 |
Type28=1 |
Type29=1 |
Type30=1 |
Type31=1 |
Type32=1 |
Type33=1 |
Type34=1 |
Type35=1 |
Type36=1 |
Type37=1 |
Type38=1 |
Type39=1 |
Type40=1 |
Type41=1 |
Type42=1 |
Type43=1 |
Type44=1 |
Type45=1 |
Type46=1 |
Type47=1 |
Type48=1 |
Type49=1 |
Type50=1 |
Type51=1 |
Type52=1 |
Type53=1 |
Type54=1 |
Type55=1 |
Type56=1 |
Type57=1 |
Type58=1 |
Type59=1 |
Type60=1 |
Type61=1 |
Type62=1 |
Type63=1 |
Type64=1 |
Type65=1 |
Type66=1 |
Type67=1 |
Type68=1 |
|
[Difference Levels] |
Type1=1 |
Type2=1 |
Type3=1 |
Type4=1 |
Type5=1 |
Type6=1 |
Type7=1 |
Type8=1 |
Type9=1 |
Type10=1 |
Type11=1 |
Type12=1 |
Type13=1 |
Type14=1 |
Type15=1 |
Type16=1 |
Type17=1 |
Type18=1 |
Type19=1 |
Type20=1 |
Type21=1 |
Type22=1 |
Type23=1 |
Type24=1 |
Type25=1 |
Type26=1 |
Type27=1 |
Type28=1 |
Type29=1 |
Type30=1 |
Type31=1 |
Type32=1 |
Type33=1 |
Type34=1 |
Type35=1 |
Type36=1 |
|
[Electrical Rules Check] |
Type1=1 |
Type2=1 |
Type3=2 |
Type4=1 |
Type5=2 |
Type6=2 |
Type7=1 |
Type8=1 |
Type9=1 |
Type10=1 |
Type11=2 |
Type12=2 |
Type13=2 |
Type14=1 |
Type15=1 |
Type16=1 |
Type17=1 |
Type18=1 |
Type19=1 |
Type20=1 |
Type21=1 |
Type22=1 |
Type23=1 |
Type24=1 |
Type25=2 |
Type26=2 |
Type27=2 |
Type28=1 |
Type29=1 |
Type30=1 |
Type31=1 |
Type32=2 |
Type33=2 |
Type34=2 |
Type35=1 |
Type36=2 |
Type37=1 |
Type38=2 |
Type39=2 |
Type40=2 |
Type41=0 |
Type42=2 |
Type43=1 |
Type44=1 |
Type45=2 |
Type46=1 |
Type47=2 |
Type48=2 |
Type49=1 |
Type50=2 |
Type51=1 |
Type52=1 |
Type53=1 |
Type54=1 |
Type55=1 |
Type56=2 |
Type57=1 |
Type58=1 |
Type59=0 |
Type60=1 |
Type61=2 |
Type62=2 |
Type63=1 |
Type64=0 |
Type65=2 |
Type66=3 |
Type67=2 |
Type68=2 |
Type69=1 |
Type70=2 |
Type71=2 |
Type72=2 |
Type73=2 |
Type74=1 |
Type75=2 |
Type76=1 |
Type77=1 |
Type78=1 |
Type79=1 |
Type80=2 |
Type81=3 |
Type82=3 |
Type83=3 |
Type84=3 |
Type85=3 |
Type86=2 |
Type87=2 |
Type88=2 |
Type89=1 |
Type90=1 |
Type91=3 |
Type92=3 |
Type93=2 |
Type94=2 |
Type95=2 |
Type96=2 |
Type97=2 |
Type98=0 |
|
[ERC Connection Matrix] |
L1=NNNNNNNNNNNWNNNWW |
L2=NNWNNNNWWWNWNWNWN |
L3=NWEENEEEENEWNEEWN |
L4=NNENNNWEENNWNENWN |
L5=NNNNNNNNNNNNNNNNN |
L6=NNENNNNEENNWNENWN |
L7=NNEWNNWEENNWNENWN |
L8=NWEENEENEEENNEENN |
L9=NWEENEEEENEWNEEWW |
L10=NWNNNNNENNEWNNEWN |
L11=NNENNNNEEENWNENWN |
L12=WWWWNWWNWWWNWWWNN |
L13=NNNNNNNNNNNWNNNWW |
L14=NWEENEEEENEWNEEWW |
L15=NNENNNNEEENWNENWW |
L16=WWWWNWWNWWWNWWWNW |
L17=WNNNNNNNWNNNWWWWN |
|
[Annotate] |
SortOrder=3 |
MatchParameter1=Comment |
MatchStrictly1=1 |
MatchParameter2=Library Reference |
MatchStrictly2=1 |
PhysicalNamingFormat=$Component_$RoomName |
GlobalIndexSortOrder=3 |
|
[PrjClassGen] |
CompClassManualEnabled=0 |
CompClassManualRoomEnabled=0 |
NetClassAutoBusEnabled=1 |
NetClassAutoCompEnabled=0 |
NetClassAutoNamedHarnessEnabled=0 |
NetClassManualEnabled=0 |
|
[LibraryUpdateOptions] |
SelectedOnly=0 |
PartTypes=0 |
FullReplace=1 |
UpdateDesignatorLock=1 |
UpdatePartIDLock=1 |
DoGraphics=1 |
DoParameters=1 |
DoModels=1 |
AddParameters=0 |
RemoveParameters=0 |
AddModels=1 |
RemoveModels=1 |
UpdateCurrentModels=1 |
|
[DatabaseUpdateOptions] |
SelectedOnly=0 |
PartTypes=0 |
|
[Comparison Options] |
ComparisonOptions0=Kind=Net|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0 |
ComparisonOptions1=Kind=Net Class|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0 |
ComparisonOptions2=Kind=Component Class|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0 |
ComparisonOptions3=Kind=Rule|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0 |
ComparisonOptions4=Kind=Differential Pair|MinPercent=50|MinMatch=1|ShowMatch=0|Confirm=0|UseName=0|InclAllRules=0 |
|
[SmartPDF] |
PageOptions=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=2.77|XCorrection=1.00|YCorrection=1.00|PrintKind=0|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1 |
Configuration_Name1=OutputConfigurationParameter1 |
Configuration_Item1=PrintArea=DesignExtent|PrintAreaLowerLeftCornerX=0|PrintAreaLowerLeftCornerY=0|PrintAreaUpperRightCornerX=0|PrintAreaUpperRightCornerY=0|Record=PcbPrintView |
Configuration_Name2=OutputConfigurationParameter2 |
Configuration_Item2=IncludeBottomLayerComponents=True|IncludeMultiLayerComponents=True|IncludeTopLayerComponents=True|Index=0|Mirror=True|Name=Top Layer|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=True|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=False |
Configuration_Name3=OutputConfigurationParameter3 |
Configuration_Item3=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=MultiLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration_Name4=OutputConfigurationParameter4 |
Configuration_Item4=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=TopLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer |
Configuration_Name5=OutputConfigurationParameter5 |
Configuration_Item5=IncludeBottomLayerComponents=True|IncludeMultiLayerComponents=True|IncludeTopLayerComponents=True|Index=1|Mirror=False|Name=Bottom Layer|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=True|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=False |
Configuration_Name6=OutputConfigurationParameter6 |
Configuration_Item6=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=MultiLayer|Polygon=Full|PrintOutIndex=1|Record=PcbPrintLayer |
Configuration_Name7=OutputConfigurationParameter7 |
Configuration_Item7=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=BottomLayer|Polygon=Full|PrintOutIndex=1|Record=PcbPrintLayer |
Configuration_Name8=OutputConfigurationParameter8 |
Configuration_Item8=IncludeBottomLayerComponents=False|IncludeMultiLayerComponents=False|IncludeTopLayerComponents=True|Index=2|Mirror=False|Name=Top Silkscreen Overlay|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=False|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=True |
Configuration_Name9=OutputConfigurationParameter9 |
Configuration_Item9=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=TopOverlay|Polygon=Full|PrintOutIndex=2|Record=PcbPrintLayer |
Configuration_Name10=OutputConfigurationParameter10 |
Configuration_Item10=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical13|Polygon=Full|PrintOutIndex=2|Record=PcbPrintLayer |
Configuration_Name11=OutputConfigurationParameter11 |
Configuration_Item11=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical15|Polygon=Full|PrintOutIndex=2|Record=PcbPrintLayer |
Configuration_Name12=OutputConfigurationParameter12 |
Configuration_Item12=IncludeBottomLayerComponents=True|IncludeMultiLayerComponents=False|IncludeTopLayerComponents=False|Index=3|Mirror=True|Name=Bottom Silkscreen Overlay|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=False|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=True |
Configuration_Name13=OutputConfigurationParameter13 |
Configuration_Item13=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=BottomOverlay|Polygon=Full|PrintOutIndex=3|Record=PcbPrintLayer |
Configuration_Name14=OutputConfigurationParameter14 |
Configuration_Item14=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical13|Polygon=Full|PrintOutIndex=3|Record=PcbPrintLayer |
Configuration_Name15=OutputConfigurationParameter15 |
Configuration_Item15=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|DDSymbolKind=0|DDSymbolSize=500000|DDSymbolSortKind=0|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical15|Polygon=Full|PrintOutIndex=3|Record=PcbPrintLayer |
|
/trunk/hardware/Spartan3_50AN_main/KISS_Spartan3-2.pdf
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
trunk/hardware/Spartan3_50AN_main/KISS_Spartan3-2.pdf
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: trunk/hardware/Spartan3_50AN_main/IO connections.SchDoc
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: trunk/hardware/Spartan3_50AN_main/IO connections.SchDoc
===================================================================
--- trunk/hardware/Spartan3_50AN_main/IO connections.SchDoc (nonexistent)
+++ trunk/hardware/Spartan3_50AN_main/IO connections.SchDoc (revision 4)
trunk/hardware/Spartan3_50AN_main/IO connections.SchDoc
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: trunk/hardware/Spartan3_50AN_main/PCB.PcbDoc
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: trunk/hardware/Spartan3_50AN_main/PCB.PcbDoc
===================================================================
--- trunk/hardware/Spartan3_50AN_main/PCB.PcbDoc (nonexistent)
+++ trunk/hardware/Spartan3_50AN_main/PCB.PcbDoc (revision 4)
trunk/hardware/Spartan3_50AN_main/PCB.PcbDoc
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: trunk/hardware/Spartan3_50AN_main/Power.SchDoc
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: trunk/hardware/Spartan3_50AN_main/Power.SchDoc
===================================================================
--- trunk/hardware/Spartan3_50AN_main/Power.SchDoc (nonexistent)
+++ trunk/hardware/Spartan3_50AN_main/Power.SchDoc (revision 4)
trunk/hardware/Spartan3_50AN_main/Power.SchDoc
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: trunk/hardware/Spartan3_50AN_addon/50AN_DIGI.SchDoc
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: trunk/hardware/Spartan3_50AN_addon/50AN_DIGI.SchDoc
===================================================================
--- trunk/hardware/Spartan3_50AN_addon/50AN_DIGI.SchDoc (nonexistent)
+++ trunk/hardware/Spartan3_50AN_addon/50AN_DIGI.SchDoc (revision 4)
trunk/hardware/Spartan3_50AN_addon/50AN_DIGI.SchDoc
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: trunk/hardware/Spartan3_50AN_addon/50AN_ExpansionBoard.PDF
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: trunk/hardware/Spartan3_50AN_addon/50AN_ExpansionBoard.PDF
===================================================================
--- trunk/hardware/Spartan3_50AN_addon/50AN_ExpansionBoard.PDF (nonexistent)
+++ trunk/hardware/Spartan3_50AN_addon/50AN_ExpansionBoard.PDF (revision 4)
trunk/hardware/Spartan3_50AN_addon/50AN_ExpansionBoard.PDF
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: trunk/hardware/Spartan3_50AN_addon/50AN Digi.PcbDoc
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: trunk/hardware/Spartan3_50AN_addon/50AN Digi.PcbDoc
===================================================================
--- trunk/hardware/Spartan3_50AN_addon/50AN Digi.PcbDoc (nonexistent)
+++ trunk/hardware/Spartan3_50AN_addon/50AN Digi.PcbDoc (revision 4)
trunk/hardware/Spartan3_50AN_addon/50AN Digi.PcbDoc
Property changes :
Added: svn:mime-type
## -0,0 +1 ##
+application/octet-stream
\ No newline at end of property
Index: trunk/hardware/Spartan3_50AN_addon/50AN_DIGI.PrjPcb
===================================================================
--- trunk/hardware/Spartan3_50AN_addon/50AN_DIGI.PrjPcb (nonexistent)
+++ trunk/hardware/Spartan3_50AN_addon/50AN_DIGI.PrjPcb (revision 4)
@@ -0,0 +1,1178 @@
+[Design]
+Version=1.0
+HierarchyMode=0
+ChannelRoomNamingStyle=0
+OutputPath=Project Outputs for 50AN_DIGI
+LogFolderPath=
+ChannelDesignatorFormatString=$Component_$RoomName
+ChannelRoomLevelSeperator=_
+OpenOutputs=1
+ArchiveProject=0
+TimestampOutput=0
+SeparateFolders=0
+PinSwapBy_Netlabel=1
+PinSwapBy_Pin=1
+AllowPortNetNames=0
+AllowSheetEntryNetNames=1
+AppendSheetNumberToLocalNets=0
+NetlistSinglePinNets=0
+DefaultConfiguration=
+UserID=0xFFFFFFFF
+DefaultPcbProtel=1
+DefaultPcbPcad=0
+ReorderDocumentsOnCompile=1
+NameNetsHierarchically=0
+PowerPortNamesTakePriority=0
+PushECOToAnnotationFile=1
+DItemRevisionGUID=
+
+[Document1]
+DocumentPath=50AN_DIGI.SchDoc
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=0
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document2]
+DocumentPath=50AN Digi.PcbDoc
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document3]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Texas_inst_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document4]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Analog_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document5]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Axial1.PCBLIB
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document6]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Connectors1.PcbLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document7]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Cypress_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document8]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Diverse.PcbLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document9]
+DocumentPath=..\..\PCB&Sch Lib til Protel\DivLib.SCHLIB
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document10]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Fairchild_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document11]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Freescale_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document12]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Infinion_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document13]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Intersil_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document14]
+DocumentPath=..\..\PCB&Sch Lib til Protel\IRF_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document15]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Linear_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document16]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Maxim_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document17]
+DocumentPath=..\..\PCB&Sch Lib til Protel\National_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document18]
+DocumentPath=..\..\PCB&Sch Lib til Protel\ON-semi_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document19]
+DocumentPath=..\..\PCB&Sch Lib til Protel\Pasive_SMD.PcbLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document20]
+DocumentPath=..\..\PCB&Sch Lib til Protel\PhoenixContact.PcbLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document21]
+DocumentPath=..\..\PCB&Sch Lib til Protel\QTE-040-01-X-D-A.PcbLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document22]
+DocumentPath=..\..\PCB&Sch Lib til Protel\SMD1.PCBLIB
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document23]
+DocumentPath=..\..\PCB&Sch Lib til Protel\ST_egne.SchLib
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[Document24]
+DocumentPath=Job1.OutJob
+AnnotationEnabled=1
+AnnotateStartValue=1
+AnnotationIndexControlEnabled=0
+AnnotateSuffix=
+AnnotateScope=All
+AnnotateOrder=-1
+DoLibraryUpdate=1
+DoDatabaseUpdate=1
+ClassGenCCAutoEnabled=1
+ClassGenCCAutoRoomEnabled=1
+ClassGenNCAutoScope=None
+DItemRevisionGUID=
+
+[GeneratedDocument1]
+DocumentPath=Project Outputs for 50AN_DIGI\Design Rule Check - 50AN Digi.html
+DItemRevisionGUID=
+
+[OutputGroup1]
+Name=Netlist Outputs
+Description=
+TargetPrinter=HP LaserJet P1005
+PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1
+OutputType1=CadnetixNetlist
+OutputName1=Cadnetix Netlist
+OutputDocumentPath1=
+OutputVariantName1=
+OutputDefault1=0
+OutputType2=CalayNetlist
+OutputName2=Calay Netlist
+OutputDocumentPath2=
+OutputVariantName2=
+OutputDefault2=0
+OutputType3=EDIF
+OutputName3=EDIF for PCB
+OutputDocumentPath3=
+OutputVariantName3=
+OutputDefault3=0
+OutputType4=EESofNetlist
+OutputName4=EESof Netlist
+OutputDocumentPath4=
+OutputVariantName4=
+OutputDefault4=0
+OutputType5=IntergraphNetlist
+OutputName5=Intergraph Netlist
+OutputDocumentPath5=
+OutputVariantName5=
+OutputDefault5=0
+OutputType6=MentorBoardStationNetlist
+OutputName6=Mentor BoardStation Netlist
+OutputDocumentPath6=
+OutputVariantName6=
+OutputDefault6=0
+OutputType7=MultiWire
+OutputName7=MultiWire
+OutputDocumentPath7=
+OutputVariantName7=
+OutputDefault7=0
+OutputType8=OrCadPCB2Netlist
+OutputName8=Orcad/PCB2 Netlist
+OutputDocumentPath8=
+OutputVariantName8=
+OutputDefault8=0
+OutputType9=PADSNetlist
+OutputName9=PADS ASCII Netlist
+OutputDocumentPath9=
+OutputVariantName9=
+OutputDefault9=0
+OutputType10=Pcad
+OutputName10=Pcad for PCB
+OutputDocumentPath10=
+OutputVariantName10=
+OutputDefault10=0
+OutputType11=PCADNetlist
+OutputName11=PCAD Netlist
+OutputDocumentPath11=
+OutputVariantName11=
+OutputDefault11=0
+OutputType12=PCADnltNetlist
+OutputName12=PCADnlt Netlist
+OutputDocumentPath12=
+OutputVariantName12=
+OutputDefault12=0
+OutputType13=Protel2Netlist
+OutputName13=Protel2 Netlist
+OutputDocumentPath13=
+OutputVariantName13=
+OutputDefault13=0
+OutputType14=ProtelNetlist
+OutputName14=Protel
+OutputDocumentPath14=
+OutputVariantName14=
+OutputDefault14=0
+OutputType15=RacalNetlist
+OutputName15=Racal Netlist
+OutputDocumentPath15=
+OutputVariantName15=
+OutputDefault15=0
+OutputType16=RINFNetlist
+OutputName16=RINF Netlist
+OutputDocumentPath16=
+OutputVariantName16=
+OutputDefault16=0
+OutputType17=SciCardsNetlist
+OutputName17=SciCards Netlist
+OutputDocumentPath17=
+OutputVariantName17=
+OutputDefault17=0
+OutputType18=SIMetrixNetlist
+OutputName18=SIMetrix
+OutputDocumentPath18=
+OutputVariantName18=
+OutputDefault18=0
+OutputType19=SIMPLISNetlist
+OutputName19=SIMPLIS
+OutputDocumentPath19=
+OutputVariantName19=
+OutputDefault19=0
+OutputType20=TangoNetlist
+OutputName20=Tango Netlist
+OutputDocumentPath20=
+OutputVariantName20=
+OutputDefault20=0
+OutputType21=TelesisNetlist
+OutputName21=Telesis Netlist
+OutputDocumentPath21=
+OutputVariantName21=
+OutputDefault21=0
+OutputType22=Verilog
+OutputName22=Verilog File
+OutputDocumentPath22=
+OutputVariantName22=
+OutputDefault22=0
+OutputType23=VHDL
+OutputName23=VHDL File
+OutputDocumentPath23=
+OutputVariantName23=
+OutputDefault23=0
+OutputType24=WireListNetlist
+OutputName24=WireList Netlist
+OutputDocumentPath24=
+OutputVariantName24=
+OutputDefault24=0
+OutputType25=XSpiceNetlist
+OutputName25=XSpice Netlist
+OutputDocumentPath25=
+OutputVariantName25=
+OutputDefault25=0
+
+[OutputGroup2]
+Name=Simulator Outputs
+Description=
+TargetPrinter=HP LaserJet P1005
+PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1
+OutputType1=AdvSimNetlist
+OutputName1=Mixed Sim
+OutputDocumentPath1=
+OutputVariantName1=
+OutputDefault1=0
+OutputType2=SIMetrix_Sim
+OutputName2=SIMetrix
+OutputDocumentPath2=
+OutputVariantName2=
+OutputDefault2=0
+OutputType3=SIMPLIS_Sim
+OutputName3=SIMPLIS
+OutputDocumentPath3=
+OutputVariantName3=
+OutputDefault3=0
+
+[OutputGroup3]
+Name=Documentation Outputs
+Description=
+TargetPrinter=HP LaserJet P1005
+PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1
+OutputType1=Composite
+OutputName1=Composite Drawing
+OutputDocumentPath1=
+OutputVariantName1=
+OutputDefault1=0
+PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType2=Logic Analyser Print
+OutputName2=Logic Analyser Prints
+OutputDocumentPath2=
+OutputVariantName2=
+OutputDefault2=0
+PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType3=OpenBus Print
+OutputName3=OpenBus Prints
+OutputDocumentPath3=
+OutputVariantName3=
+OutputDefault3=0
+PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType4=PCB 3D Print
+OutputName4=PCB 3D Prints
+OutputDocumentPath4=
+OutputVariantName4=
+OutputDefault4=0
+PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType5=PCB Print
+OutputName5=PCB Prints
+OutputDocumentPath5=
+OutputVariantName5=
+OutputDefault5=0
+PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType6=Schematic Print
+OutputName6=Schematic Prints
+OutputDocumentPath6=
+OutputVariantName6=
+OutputDefault6=0
+PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType7=SimView Print
+OutputName7=SimView Prints
+OutputDocumentPath7=
+OutputVariantName7=
+OutputDefault7=0
+PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType8=Wave Print
+OutputName8=Wave Prints
+OutputDocumentPath8=
+OutputVariantName8=
+OutputDefault8=0
+PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType9=WaveSim Print
+OutputName9=WaveSim Prints
+OutputDocumentPath9=
+OutputVariantName9=
+OutputDefault9=0
+PageOptions9=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+
+[OutputGroup4]
+Name=Assembly Outputs
+Description=
+TargetPrinter=HP LaserJet P1005
+PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1
+OutputType1=Assembly
+OutputName1=Assembly Drawings
+OutputDocumentPath1=
+OutputVariantName1=
+OutputDefault1=0
+PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType2=Pick Place
+OutputName2=Generates pick and place files
+OutputDocumentPath2=
+OutputVariantName2=
+OutputDefault2=0
+OutputType3=Test Points For Assembly
+OutputName3=Test Point Report
+OutputDocumentPath3=
+OutputVariantName3=
+OutputDefault3=0
+
+[OutputGroup5]
+Name=Fabrication Outputs
+Description=
+TargetPrinter=HP LaserJet P1005
+PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1
+OutputType1=CompositeDrill
+OutputName1=Composite Drill Drawing
+OutputDocumentPath1=
+OutputVariantName1=
+OutputDefault1=0
+PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType2=Drill
+OutputName2=Drill Drawing/Guides
+OutputDocumentPath2=
+OutputVariantName2=
+OutputDefault2=0
+PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType3=Final
+OutputName3=Final Artwork Prints
+OutputDocumentPath3=
+OutputVariantName3=
+OutputDefault3=0
+PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType4=Gerber
+OutputName4=Gerber Files
+OutputDocumentPath4=
+OutputVariantName4=
+OutputDefault4=0
+OutputType5=Mask
+OutputName5=Solder/Paste Mask Prints
+OutputDocumentPath5=
+OutputVariantName5=
+OutputDefault5=0
+PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType6=NC Drill
+OutputName6=NC Drill Files
+OutputDocumentPath6=
+OutputVariantName6=
+OutputDefault6=0
+OutputType7=ODB
+OutputName7=ODB++ Files
+OutputDocumentPath7=
+OutputVariantName7=
+OutputDefault7=0
+OutputType8=Plane
+OutputName8=Power-Plane Prints
+OutputDocumentPath8=
+OutputVariantName8=
+OutputDefault8=0
+PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType9=Test Points
+OutputName9=Test Point Report
+OutputDocumentPath9=
+OutputVariantName9=
+OutputDefault9=0
+
+[OutputGroup6]
+Name=Report Outputs
+Description=
+TargetPrinter=HP LaserJet P1005
+PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1
+OutputType1=BOM_PartType
+OutputName1=Bill of Materials
+OutputDocumentPath1=
+OutputVariantName1=
+OutputDefault1=0
+PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType2=ComponentCrossReference
+OutputName2=Component Cross Reference Report
+OutputDocumentPath2=
+OutputVariantName2=
+OutputDefault2=0
+OutputType3=Design Rules Check
+OutputName3=Design Rules Check
+OutputDocumentPath3=
+OutputVariantName3=
+OutputDefault3=0
+PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType4=Electrical Rules Check
+OutputName4=Electrical Rules Check
+OutputDocumentPath4=
+OutputVariantName4=
+OutputDefault4=0
+PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType5=ReportHierarchy
+OutputName5=Report Project Hierarchy
+OutputDocumentPath5=
+OutputVariantName5=
+OutputDefault5=0
+OutputType6=Script
+OutputName6=Script Output
+OutputDocumentPath6=
+OutputVariantName6=
+OutputDefault6=0
+OutputType7=SimpleBOM
+OutputName7=Simple BOM
+OutputDocumentPath7=
+OutputVariantName7=
+OutputDefault7=0
+OutputType8=SinglePinNetReporter
+OutputName8=Report Single Pin Nets
+OutputDocumentPath8=
+OutputVariantName8=
+OutputDefault8=0
+
+[OutputGroup7]
+Name=Other Outputs
+Description=
+TargetPrinter=HP LaserJet P1005
+PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintWhat=1
+OutputType1=Text Print
+OutputName1=Text Print
+OutputDocumentPath1=
+OutputVariantName1=
+OutputDefault1=0
+PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType2=Text Print
+OutputName2=Text Print
+OutputDocumentPath2=
+OutputVariantName2=
+OutputDefault2=0
+PageOptions2=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType3=Text Print
+OutputName3=Text Print
+OutputDocumentPath3=
+OutputVariantName3=
+OutputDefault3=0
+PageOptions3=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType4=Text Print
+OutputName4=Text Print
+OutputDocumentPath4=
+OutputVariantName4=
+OutputDefault4=0
+PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType5=Text Print
+OutputName5=Text Print
+OutputDocumentPath5=
+OutputVariantName5=
+OutputDefault5=0
+PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType6=Text Print
+OutputName6=Text Print
+OutputDocumentPath6=
+OutputVariantName6=
+OutputDefault6=0
+PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType7=Text Print
+OutputName7=Text Print
+OutputDocumentPath7=
+OutputVariantName7=
+OutputDefault7=0
+PageOptions7=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType8=Text Print
+OutputName8=Text Print
+OutputDocumentPath8=
+OutputVariantName8=
+OutputDefault8=0
+PageOptions8=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType9=Text Print
+OutputName9=Text Print
+OutputDocumentPath9=
+OutputVariantName9=
+OutputDefault9=0
+PageOptions9=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType10=Text Print
+OutputName10=Text Print
+OutputDocumentPath10=
+OutputVariantName10=
+OutputDefault10=0
+PageOptions10=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType11=Text Print
+OutputName11=Text Print
+OutputDocumentPath11=
+OutputVariantName11=
+OutputDefault11=0
+PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType12=Text Print
+OutputName12=Text Print
+OutputDocumentPath12=
+OutputVariantName12=
+OutputDefault12=0
+PageOptions12=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType13=Text Print
+OutputName13=Text Print
+OutputDocumentPath13=
+OutputVariantName13=
+OutputDefault13=0
+PageOptions13=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType14=Text Print
+OutputName14=Text Print
+OutputDocumentPath14=
+OutputVariantName14=
+OutputDefault14=0
+PageOptions14=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType15=Text Print
+OutputName15=Text Print
+OutputDocumentPath15=
+OutputVariantName15=
+OutputDefault15=0
+PageOptions15=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType16=Text Print
+OutputName16=Text Print
+OutputDocumentPath16=
+OutputVariantName16=
+OutputDefault16=0
+PageOptions16=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType17=Text Print
+OutputName17=Text Print
+OutputDocumentPath17=
+OutputVariantName17=
+OutputDefault17=0
+PageOptions17=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType18=Text Print
+OutputName18=Text Print
+OutputDocumentPath18=
+OutputVariantName18=
+OutputDefault18=0
+PageOptions18=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType19=Text Print
+OutputName19=Text Print
+OutputDocumentPath19=
+OutputVariantName19=
+OutputDefault19=0
+PageOptions19=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType20=Text Print
+OutputName20=Text Print
+OutputDocumentPath20=
+OutputVariantName20=
+OutputDefault20=0
+PageOptions20=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType21=Text Print
+OutputName21=Text Print
+OutputDocumentPath21=
+OutputVariantName21=
+OutputDefault21=0
+PageOptions21=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType22=Text Print
+OutputName22=Text Print
+OutputDocumentPath22=
+OutputVariantName22=
+OutputDefault22=0
+PageOptions22=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType23=Text Print
+OutputName23=Text Print
+OutputDocumentPath23=
+OutputVariantName23=
+OutputDefault23=0
+PageOptions23=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType24=Text Print
+OutputName24=Text Print
+OutputDocumentPath24=
+OutputVariantName24=
+OutputDefault24=0
+PageOptions24=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType25=Text Print
+OutputName25=Text Print
+OutputDocumentPath25=
+OutputVariantName25=
+OutputDefault25=0
+PageOptions25=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType26=Text Print
+OutputName26=Text Print
+OutputDocumentPath26=
+OutputVariantName26=
+OutputDefault26=0
+PageOptions26=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType27=Text Print
+OutputName27=Text Print
+OutputDocumentPath27=
+OutputVariantName27=
+OutputDefault27=0
+PageOptions27=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+OutputType28=Text Print
+OutputName28=Text Print
+OutputDocumentPath28=
+OutputVariantName28=
+OutputDefault28=0
+PageOptions28=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+
+[Modification Levels]
+Type1=1
+Type2=1
+Type3=1
+Type4=1
+Type5=1
+Type6=1
+Type7=1
+Type8=1
+Type9=1
+Type10=1
+Type11=1
+Type12=1
+Type13=1
+Type14=1
+Type15=1
+Type16=1
+Type17=1
+Type18=1
+Type19=1
+Type20=1
+Type21=1
+Type22=1
+Type23=1
+Type24=1
+Type25=1
+Type26=1
+Type27=1
+Type28=1
+Type29=1
+Type30=1
+Type31=1
+Type32=1
+Type33=1
+Type34=1
+Type35=1
+Type36=1
+Type37=1
+Type38=1
+Type39=1
+Type40=1
+Type41=1
+Type42=1
+Type43=1
+Type44=1
+Type45=1
+Type46=1
+Type47=1
+Type48=1
+Type49=1
+Type50=1
+Type51=1
+Type52=1
+Type53=1
+Type54=1
+Type55=1
+Type56=1
+Type57=1
+Type58=1
+Type59=1
+Type60=1
+Type61=1
+Type62=1
+Type63=1
+Type64=1
+Type65=1
+Type66=1
+Type67=1
+Type68=1
+
+[Difference Levels]
+Type1=1
+Type2=1
+Type3=1
+Type4=1
+Type5=1
+Type6=1
+Type7=1
+Type8=1
+Type9=1
+Type10=1
+Type11=1
+Type12=1
+Type13=1
+Type14=1
+Type15=1
+Type16=1
+Type17=1
+Type18=1
+Type19=1
+Type20=1
+Type21=1
+Type22=1
+Type23=1
+Type24=1
+Type25=1
+Type26=1
+Type27=1
+Type28=1
+Type29=1
+Type30=1
+Type31=1
+Type32=1
+Type33=1
+Type34=1
+Type35=1
+Type36=1
+
+[Electrical Rules Check]
+Type1=1
+Type2=1
+Type3=2
+Type4=1
+Type5=2
+Type6=2
+Type7=1
+Type8=1
+Type9=1
+Type10=1
+Type11=2
+Type12=2
+Type13=2
+Type14=1
+Type15=1
+Type16=1
+Type17=1
+Type18=1
+Type19=1
+Type20=1
+Type21=1
+Type22=1
+Type23=1
+Type24=1
+Type25=2
+Type26=2
+Type27=2
+Type28=1
+Type29=1
+Type30=1
+Type31=1
+Type32=2
+Type33=2
+Type34=2
+Type35=1
+Type36=2
+Type37=1
+Type38=2
+Type39=2
+Type40=2
+Type41=0
+Type42=2
+Type43=1
+Type44=1
+Type45=2
+Type46=1
+Type47=2
+Type48=2
+Type49=1
+Type50=2
+Type51=1
+Type52=1
+Type53=1
+Type54=1
+Type55=1
+Type56=2
+Type57=1
+Type58=1
+Type59=0
+Type60=1
+Type61=2
+Type62=2
+Type63=1
+Type64=0
+Type65=2
+Type66=3
+Type67=2
+Type68=2
+Type69=1
+Type70=2
+Type71=2
+Type72=2
+Type73=2
+Type74=1
+Type75=2
+Type76=1
+Type77=1
+Type78=1
+Type79=1
+Type80=2
+Type81=3
+Type82=3
+Type83=3
+Type84=3
+Type85=3
+Type86=2
+Type87=2
+Type88=2
+Type89=1
+Type90=1
+Type91=3
+Type92=3
+Type93=2
+Type94=2
+Type95=2
+Type96=2
+Type97=2
+Type98=0
+
+[ERC Connection Matrix]
+L1=NNNNNNNNNNNWNNNWW
+L2=NNWNNNNWWWNWNWNWN
+L3=NWEENEEEENEWNEEWN
+L4=NNENNNWEENNWNENWN
+L5=NNNNNNNNNNNNNNNNN
+L6=NNENNNNEENNWNENWN
+L7=NNEWNNWEENNWNENWN
+L8=NWEENEENEEENNEENN
+L9=NWEENEEEENEWNEEWW
+L10=NWNNNNNENNEWNNEWN
+L11=NNENNNNEEENWNENWN
+L12=WWWWNWWNWWWNWWWNN
+L13=NNNNNNNNNNNWNNNWW
+L14=NWEENEEEENEWNEEWW
+L15=NNENNNNEEENWNENWW
+L16=WWWWNWWNWWWNWWWNW
+L17=WNNNNNNNWNNNWWWWN
+
+[Annotate]
+SortOrder=3
+MatchParameter1=Comment
+MatchStrictly1=1
+MatchParameter2=Library Reference
+MatchStrictly2=1
+PhysicalNamingFormat=$Component_$RoomName
+GlobalIndexSortOrder=3
+
+[PrjClassGen]
+CompClassManualEnabled=0
+CompClassManualRoomEnabled=0
+NetClassAutoBusEnabled=1
+NetClassAutoCompEnabled=0
+NetClassAutoNamedHarnessEnabled=0
+NetClassManualEnabled=1
+
+[LibraryUpdateOptions]
+SelectedOnly=0
+PartTypes=0
+FullReplace=1
+UpdateDesignatorLock=1
+UpdatePartIDLock=1
+DoGraphics=1
+DoParameters=1
+DoModels=1
+AddParameters=0
+RemoveParameters=0
+AddModels=1
+RemoveModels=1
+UpdateCurrentModels=1
+
+[DatabaseUpdateOptions]
+SelectedOnly=0
+PartTypes=0
+
+[Comparison Options]
+ComparisonOptions0=Kind=Net|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
+ComparisonOptions1=Kind=Net Class|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
+ComparisonOptions2=Kind=Component Class|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
+ComparisonOptions3=Kind=Rule|MinPercent=75|MinMatch=3|ShowMatch=-1|Confirm=-1|UseName=-1|InclAllRules=0
+ComparisonOptions4=Kind=Differential Pair|MinPercent=50|MinMatch=1|ShowMatch=0|Confirm=0|UseName=0|InclAllRules=0
+
+[SmartPDF]
+PageOptions=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-4|MediaType=1|DitherType=10|PaperKind=A4|PrintScaleMode=1
+