OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /versatile_mem_ctrl/trunk/bench
    from Rev 75 to Rev 80
    Reverse comparison

Rev 75 → Rev 80

/tb.v
5,7 → 5,9
output OK
);
 
reg sdram_clk, wb_clk, wb_rst;
reg wb_clk, wb_rst;
reg sdram_clk, sdram_rst;
reg tb_rst;
 
wire [31:0] wb0_dat_i;
wire [3:0] wb0_sel_i;
110,7 → 112,7
.ack(wb0_ack_o),
.clk(wb_clk),
.dat_i(wb0_dat_o),
.reset(wb_rst)
.reset(tb_rst)
);
wb1_ddr wb1i
(
125,7 → 127,7
.ack(wb1_ack_o),
.clk(wb_clk),
.dat_i(wb1_dat_o),
.reset(wb_rst)
.reset(tb_rst)
);
wb4_ddr wb4i
(
140,13 → 142,13
.ack(wb4_ack_o),
.clk(wb_clk),
.dat_i(wb4_dat_o),
.reset(wb_rst)
.reset(tb_rst)
);
`endif
 
versatile_mem_ctrl_top # (
.nr_of_wb_clk_domains(2),
.nr_of_wb_ports_clk0(2),
.nr_of_wb_ports_clk0(1),
.nr_of_wb_ports_clk1(1),
.nr_of_wb_ports_clk2(0),
.nr_of_wb_ports_clk3(0))
279,26 → 281,48
.odt()
);
`endif
 
// Wishbone reset
initial
begin
#0 wb_rst = 1'b1;
#200 wb_rst = 1'b1;
#0 wb_rst = 1'b1;
#200 wb_rst = 1'b1;
#200000 wb_rst = 1'b0;
end
 
// SDRAM reset
initial
begin
#0 sdram_rst = 1'b1;
#200 sdram_rst = 1'b1;
#200000 sdram_rst = 1'b0;
end
// Test bench reset
initial
begin
#0 tb_rst = 1'b1;
#200 tb_rst = 1'b1;
//#200000 tb_rst = 1'b0;
#300000 tb_rst = 1'b0; // hold reset to let initialization complete
end
 
// Wishbone clock
initial
begin
#0 wb_clk = 1'b0;
forever
#200 wb_clk = !wb_clk; // 25MHz
//#200 wb_clk = !wb_clk; // 2.5 MHz
#20 wb_clk = !wb_clk; // 25 MHz
end
 
// SDRAM clock
initial
begin
#0 sdram_clk = 1'b0;
forever
#4 sdram_clk = !sdram_clk; // 125MHz
//#4 sdram_clk = !sdram_clk; // 125 MHz
#5 sdram_clk = !sdram_clk; // 100 MHz
end
endmodule // versatile_mem_ctrl_tb
/wb1_ddr.fzm
1,4 → 1,4
## File last modified by Fizzim: 1:27:11 PM on 4/13/10
## File last modified by Fizzim: 1:20:37 PM on 6/7/10
<version>
8.04.05
</version>
1251,10 → 1251,10
</tabs>
## START PREFERENCES
<SCounter>
14
27
</SCounter>
<TCounter>
14
35
</TCounter>
<TableVis>
true
1282,10 → 1282,10
<textObj>
fzm_globalTable
<x>
10
7
</x>
<y>
10
22
</y>
<page>
1
1692,13 → 1692,13
</we>
</attributes>
<x0>
325
275
</x0>
<y0>
25
</y0>
<x1>
455
405
</x1>
<y1>
155
2115,13 → 2115,13
</we>
</attributes>
<x0>
325
275
</x0>
<y0>
275
</y0>
<x1>
455
405
</x1>
<y1>
405
2219,10 → 2219,10
</status>
</color>
<x2Obj>
8
7
</x2Obj>
<y2Obj>
44
45
</y2Obj>
<page>
1
2236,28 → 2236,28
state1
</endState>
<startPtX>
390.0
340.0
</startPtX>
<startPtY>
155.0
</startPtY>
<endPtX>
390.0
340.0
</endPtX>
<endPtY>
275.0
</endPtY>
<startCtrlPtX>
390.0
340.0
</startCtrlPtX>
<startCtrlPtY>
174.0
166.0
</startCtrlPtY>
<endCtrlPtY>
390.0
340.0
</endCtrlPtY>
<endCtrlPtY>
255.0
263.0
</endCtrlPtY>
<startStateIndex>
9
2308,7 → 2308,7
ABS
</status>
<value>
state6
state2
<status>
LOCAL
</status>
2352,9 → 2352,9
GLOBAL_FIXED
</status>
<value>
32'h2000
32'h0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
2396,9 → 2396,9
GLOBAL_FIXED
</status>
<value>
32'h0
32'hf300f400
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
2660,9 → 2660,9
GLOBAL_FIXED
</status>
<value>
1'b0
1'b1
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
2701,16 → 2701,16
</we>
</attributes>
<x0>
550
275
</x0>
<y0>
275
525
</y0>
<x1>
680
405
</x1>
<y1>
405
655
</y1>
<reset>
false
2805,10 → 2805,10
</status>
</color>
<x2Obj>
13
12
</x2Obj>
<y2Obj>
44
41
</y2Obj>
<page>
1
2822,28 → 2822,28
state2
</endState>
<startPtX>
390.0
340.0
</startPtX>
<startPtY>
405.0
</startPtY>
<endPtX>
390.0
340.0
</endPtX>
<endPtY>
525.0
</endPtY>
<startCtrlPtX>
390.0
340.0
</startCtrlPtX>
<startCtrlPtY>
424.0
416.0
</startCtrlPtY>
<endCtrlPtY>
390.0
339.0
</endCtrlPtY>
<endCtrlPtY>
505.0
511.0
</endCtrlPtY>
<startStateIndex>
9
2894,7 → 2894,7
ABS
</status>
<value>
state7
state3
<status>
LOCAL
</status>
2971,11 → 2971,597
0
</x2Obj>
<y2Obj>
-1
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'hf500f600
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b010
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
275
</x0>
<y0>
775
</y0>
<x1>
405
</x1>
<y1>
905
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans3
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ack
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
12
</x2Obj>
<y2Obj>
45
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state2
</startState>
<endState>
state3
</endState>
<startPtX>
340.0
</startPtX>
<startPtY>
655.0
</startPtY>
<endPtX>
340.0
</endPtX>
<endPtY>
775.0
</endPtY>
<startCtrlPtX>
340.0
</startCtrlPtX>
<startCtrlPtY>
665.0
</startCtrlPtY>
<endCtrlPtY>
339.0
</endCtrlPtY>
<endCtrlPtY>
722.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state4
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
2982,6 → 3568,429
GLOBAL_FIXED
</status>
<value>
32'hf700f800
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b111
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
275
</x0>
<y0>
1025
</y0>
<x1>
405
</x1>
<y1>
1155
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state11_1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h2004
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
3070,6 → 4079,1015
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b010
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
625
</x0>
<y0>
525
</y0>
<x1>
755
</x1>
<y1>
655
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans5
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ack
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-5
</x2Obj>
<y2Obj>
-53
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state4
</startState>
<endState>
state5
</endState>
<startPtX>
381.0
</startPtX>
<startPtY>
1040.0
</startPtY>
<endPtX>
465.0
</endPtX>
<endPtY>
131.0
</endPtY>
<startCtrlPtX>
440.0
</startCtrlPtX>
<startCtrlPtY>
993.0
</startCtrlPtY>
<endCtrlPtY>
417.0
</endCtrlPtY>
<endCtrlPtY>
194.0
</endCtrlPtY>
<startStateIndex>
31
</startStateIndex>
<endStateIndex>
14
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state11_0
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h2004
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b010
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
625
</x0>
<y0>
275
</y0>
<x1>
755
</x1>
<y1>
405
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state10
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b00
<status>
GLOBAL_VAR
3287,16 → 5305,16
</we>
</attributes>
<x0>
550
625
</x0>
<y0>
525
25
</y0>
<x1>
680
755
</x1>
<y1>
655
155
</y1>
<reset>
false
3317,7 → 5335,7
ABS
</status>
<value>
trans3
trans6
<status>
LOCAL
</status>
3361,9 → 5379,9
ABS
</status>
<value>
ack
1
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
3391,10 → 5409,10
</status>
</color>
<x2Obj>
14
7
</x2Obj>
<y2Obj>
44
45
</y2Obj>
<page>
1
3402,34 → 5420,34
</equation>
</attributes>
<startState>
state6
state10
</startState>
<endState>
state7
state11_0
</endState>
<startPtX>
615.0
690.0
</startPtX>
<startPtY>
405.0
155.0
</startPtY>
<endPtX>
615.0
690.0
</endPtX>
<endPtY>
525.0
275.0
</endPtY>
<startCtrlPtX>
615.0
690.0
</startCtrlPtX>
<startCtrlPtY>
418.0
180.0
</startCtrlPtY>
<endCtrlPtY>
615.0
690.0
</endCtrlPtY>
<endCtrlPtY>
510.0
249.0
</endCtrlPtY>
<startStateIndex>
9
3480,7 → 5498,7
ABS
</status>
<value>
state8
state13
<status>
LOCAL
</status>
3873,16 → 5891,16
</we>
</attributes>
<x0>
550
800
</x0>
<y0>
775
25
</y0>
<x1>
680
930
</x1>
<y1>
905
155
</y1>
<reset>
false
3903,7 → 5921,7
ABS
</status>
<value>
trans5
trans9
<status>
LOCAL
</status>
3977,10 → 5995,10
</status>
</color>
<x2Obj>
15
14
</x2Obj>
<y2Obj>
44
45
</y2Obj>
<page>
1
3988,34 → 6006,34
</equation>
</attributes>
<startState>
state7
state11_1
</startState>
<endState>
state8
state11_2
</endState>
<startPtX>
615.0
690.0
</startPtX>
<startPtY>
655.0
</startPtY>
<endPtX>
615.0
690.0
</endPtX>
<endPtY>
775.0
</endPtY>
<startCtrlPtX>
615.0
690.0
</startCtrlPtX>
<startCtrlPtY>
674.0
686.0
</startCtrlPtY>
<endCtrlPtY>
615.0
690.0
</endCtrlPtY>
<endCtrlPtY>
755.0
743.0
</endCtrlPtY>
<startStateIndex>
9
4058,6 → 6076,169
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans10
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ack
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
14
</x2Obj>
<y2Obj>
45
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state11_0
</startState>
<endState>
state11_1
</endState>
<startPtX>
690.0
</startPtX>
<startPtY>
405.0
</startPtY>
<endPtX>
690.0
</endPtX>
<endPtY>
525.0
</endPtY>
<startCtrlPtX>
690.0
</startCtrlPtX>
<startCtrlPtY>
430.0
</startCtrlPtY>
<endCtrlPtY>
690.0
</endCtrlPtY>
<endCtrlPtY>
499.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
4066,7 → 6247,7
ABS
</status>
<value>
state9
state14_0
<status>
LOCAL
</status>
4110,7 → 6291,7
GLOBAL_FIXED
</status>
<value>
32'h2004
32'h2014
<status>
LOCAL
</status>
4459,16 → 6640,16
</we>
</attributes>
<x0>
550
800
</x0>
<y0>
1025
275
</y0>
<x1>
680
930
</x1>
<y1>
1155
405
</y1>
<reset>
false
4489,7 → 6670,7
ABS
</status>
<value>
state10
state15
<status>
LOCAL
</status>
4882,13 → 7063,13
</we>
</attributes>
<x0>
775
975
</x0>
<y0>
25
</y0>
<x1>
905
1105
</x1>
<y1>
155
4912,7 → 7093,7
ABS
</status>
<value>
state11
state17_0
<status>
LOCAL
</status>
4956,6 → 7137,50
GLOBAL_FIXED
</status>
<value>
32'h2000
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
4994,6 → 7219,385
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b010
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
975
</x0>
<y0>
275
</y0>
<x1>
1105
</x1>
<y1>
405
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state17_1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h2000
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
5088,6 → 7692,429
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b010
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
975
</x0>
<y0>
525
</y0>
<x1>
1105
</x1>
<y1>
655
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state19
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b00
<status>
GLOBAL_VAR
5305,13 → 8332,859
</we>
</attributes>
<x0>
775
1150
</x0>
<y0>
25
</y0>
<x1>
1280
</x1>
<y1>
155
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state5
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b00
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
450
</x0>
<y0>
25
</y0>
<x1>
580
</x1>
<y1>
155
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state6
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h2010
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'hf900fa00
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b010
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
450
</x0>
<y0>
275
</y0>
<x1>
905
580
</x1>
<y1>
405
5327,6 → 9200,1275
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state7
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'hfb00fc00
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b010
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
450
</x0>
<y0>
525
</y0>
<x1>
580
</x1>
<y1>
655
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state8
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'hfd00fe00
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b010
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
450
</x0>
<y0>
775
</y0>
<x1>
580
</x1>
<y1>
905
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state9
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'hf0f0f0f0
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b111
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
450
</x0>
<y0>
1025
</y0>
<x1>
580
</x1>
<y1>
1155
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
5335,7 → 10477,7
ABS
</status>
<value>
trans6
trans17
<status>
LOCAL
</status>
5420,31 → 10562,520
</equation>
</attributes>
<startState>
state5
</startState>
<endState>
state6
</endState>
<startPtX>
515.0
</startPtX>
<startPtY>
155.0
</startPtY>
<endPtX>
515.0
</endPtX>
<endPtY>
275.0
</endPtY>
<startCtrlPtX>
515.0
</startCtrlPtX>
<startCtrlPtY>
180.0
</startCtrlPtY>
<endCtrlPtY>
515.0
</endCtrlPtY>
<endCtrlPtY>
249.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans18
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ack
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
14
</x2Obj>
<y2Obj>
44
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state6
</startState>
<endState>
state7
</endState>
<startPtX>
515.0
</startPtX>
<startPtY>
405.0
</startPtY>
<endPtX>
515.0
</endPtX>
<endPtY>
525.0
</endPtY>
<startCtrlPtX>
515.0
</startCtrlPtX>
<startCtrlPtY>
430.0
</startCtrlPtY>
<endCtrlPtY>
515.0
</endCtrlPtY>
<endCtrlPtY>
499.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans19
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ack
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
14
</x2Obj>
<y2Obj>
44
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state7
</startState>
<endState>
state8
</endState>
<startPtX>
515.0
</startPtX>
<startPtY>
655.0
</startPtY>
<endPtX>
515.0
</endPtX>
<endPtY>
775.0
</endPtY>
<startCtrlPtX>
515.0
</startCtrlPtX>
<startCtrlPtY>
680.0
</startCtrlPtY>
<endCtrlPtY>
515.0
</endCtrlPtY>
<endCtrlPtY>
749.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans20
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ack
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
13
</x2Obj>
<y2Obj>
45
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state8
</startState>
<endState>
state9
</endState>
<startPtX>
615.0
515.0
</startPtX>
<startPtY>
905.0
</startPtY>
<endPtX>
615.0
515.0
</endPtX>
<endPtY>
1025.0
</endPtY>
<startCtrlPtX>
615.0
515.0
</startCtrlPtX>
<startCtrlPtY>
930.0
</startCtrlPtY>
<endCtrlPtY>
615.0
515.0
</endCtrlPtY>
<endCtrlPtY>
999.0
5498,7 → 11129,7
ABS
</status>
<value>
trans7
trans21
<status>
LOCAL
</status>
5572,10 → 11203,10
</status>
</color>
<x2Obj>
13
-6
</x2Obj>
<y2Obj>
44
-57
</y2Obj>
<page>
1
5589,28 → 11220,28
state10
</endState>
<startPtX>
656.0
556.0
</startPtX>
<startPtY>
1040.0
</startPtY>
<endPtX>
790.0
640.0
</endPtX>
<endPtY>
131.0
</endPtY>
<startCtrlPtX>
702.0
616.0
</startCtrlPtX>
<startCtrlPtY>
979.0
</startCtrlPtY>
<endCtrlPtY>
759.0
593.0
</endCtrlPtY>
<endCtrlPtY>
193.0
194.0
</endCtrlPtY>
<startStateIndex>
31
5661,7 → 11292,7
ABS
</status>
<value>
trans8
trans22
<status>
LOCAL
</status>
5705,7 → 11336,7
ABS
</status>
<value>
!ack
ack
<status>
LOCAL
</status>
5735,9 → 11366,172
</status>
</color>
<x2Obj>
14
8
</x2Obj>
<y2Obj>
45
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state3
</startState>
<endState>
state4
</endState>
<startPtX>
340.0
</startPtX>
<startPtY>
905.0
</startPtY>
<endPtX>
340.0
</endPtX>
<endPtY>
1025.0
</endPtY>
<startCtrlPtX>
340.0
</startCtrlPtX>
<startCtrlPtY>
930.0
</startCtrlPtY>
<endCtrlPtY>
340.0
</endCtrlPtY>
<endCtrlPtY>
999.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans23
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
8
</x2Obj>
<y2Obj>
44
</y2Obj>
<page>
5746,31 → 11540,31
</equation>
</attributes>
<startState>
state10
state13
</startState>
<endState>
state11
state14_0
</endState>
<startPtX>
840.0
865.0
</startPtX>
<startPtY>
155.0
</startPtY>
<endPtX>
840.0
865.0
</endPtX>
<endPtY>
275.0
</endPtY>
<startCtrlPtX>
840.0
865.0
</startCtrlPtX>
<startCtrlPtY>
180.0
</startCtrlPtY>
<endCtrlPtY>
840.0
865.0
</endCtrlPtY>
<endCtrlPtY>
249.0
5816,6 → 11610,66
</stub>
</transition>
## END STATE TRANSITION OBJECT
<textObj>
(4-beat wrapped incr. burst)
<x>
188
</x>
<y>
264
</y>
<page>
1
</page>
</textObj>
<textObj>
(Linear incr. burst)
<x>
410
</x>
<y>
271
</y>
<page>
1
</page>
</textObj>
<textObj>
(Read Burst)
<x>
625
</x>
<y>
271
</y>
<page>
1
</page>
</textObj>
<textObj>
(Read Burst)
<x>
785
</x>
<y>
272
</y>
<page>
1
</page>
</textObj>
<textObj>
(Read Burst)
<x>
960
</x>
<y>
275
</y>
<page>
1
</page>
</textObj>
## START STATE OBJECT
<state>
<attributes>
5824,7 → 11678,7
ABS
</status>
<value>
state2
state11_2
<status>
LOCAL
</status>
5868,9 → 11722,9
GLOBAL_FIXED
</status>
<value>
32'h0
32'h2004
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
5912,9 → 11766,9
GLOBAL_FIXED
</status>
<value>
32'hf300f400
32'h0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
6176,9 → 12030,9
GLOBAL_FIXED
</status>
<value>
1'b1
1'b0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
6217,16 → 12071,16
</we>
</attributes>
<x0>
325
625
</x0>
<y0>
525
775
</y0>
<x1>
455
755
</x1>
<y1>
655
905
</y1>
<reset>
false
6247,7 → 12101,7
ABS
</status>
<value>
state3
state11_3
<status>
LOCAL
</status>
6291,6 → 12145,50
GLOBAL_FIXED
</status>
<value>
32'h2004
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
6329,6 → 12227,711
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b111
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
625
</x0>
<y0>
1025
</y0>
<x1>
755
</x1>
<y1>
1155
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans24
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
8
</x2Obj>
<y2Obj>
44
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state11_2
</startState>
<endState>
state11_3
</endState>
<startPtX>
690.0
</startPtX>
<startPtY>
905.0
</startPtY>
<endPtX>
690.0
</endPtX>
<endPtY>
1025.0
</endPtY>
<startCtrlPtX>
690.0
</startCtrlPtX>
<startCtrlPtY>
930.0
</startCtrlPtY>
<endCtrlPtY>
690.0
</endCtrlPtY>
<endCtrlPtY>
999.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans25
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
-1
</x2Obj>
<y2Obj>
-63
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state11_3
</startState>
<endState>
state13
</endState>
<startPtX>
739.0
</startPtX>
<startPtY>
1048.0
</startPtY>
<endPtX>
808.0
</endPtX>
<endPtY>
122.0
</endPtY>
<startCtrlPtX>
797.0
</startCtrlPtX>
<startCtrlPtY>
826.0
</startCtrlPtY>
<endCtrlPtY>
759.0
</endCtrlPtY>
<endCtrlPtY>
273.0
</endCtrlPtY>
<startStateIndex>
32
</startStateIndex>
<endStateIndex>
15
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state14_1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h2014
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
6335,9 → 12938,9
GLOBAL_FIXED
</status>
<value>
32'hf500f600
32'h0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
6599,9 → 13202,9
GLOBAL_FIXED
</status>
<value>
1'b1
1'b0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
6640,16 → 13243,16
</we>
</attributes>
<x0>
325
800
</x0>
<y0>
775
525
</y0>
<x1>
455
930
</x1>
<y1>
905
655
</y1>
<reset>
false
6670,7 → 13273,7
ABS
</status>
<value>
state4
state14_2
<status>
LOCAL
</status>
6714,9 → 13317,9
GLOBAL_FIXED
</status>
<value>
32'h0
32'h2014
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
6758,9 → 13361,9
GLOBAL_FIXED
</status>
<value>
32'hf700f800
32'h0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
6890,7 → 13493,7
GLOBAL_FIXED
</status>
<value>
3'b111
3'b010
<status>
LOCAL
</status>
7022,9 → 13625,9
GLOBAL_FIXED
</status>
<value>
1'b1
1'b0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
7063,16 → 13666,16
</we>
</attributes>
<x0>
325
800
</x0>
<y0>
1025
775
</y0>
<x1>
455
930
</x1>
<y1>
1155
905
</y1>
<reset>
false
7093,7 → 13696,7
ABS
</status>
<value>
trans10
trans26
<status>
LOCAL
</status>
7137,9 → 13740,9
ABS
</status>
<value>
ack
1
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
7167,7 → 13770,7
</status>
</color>
<x2Obj>
13
12
</x2Obj>
<y2Obj>
44
7178,34 → 13781,34
</equation>
</attributes>
<startState>
state2
state14_1
</startState>
<endState>
state3
state14_2
</endState>
<startPtX>
390.0
865.0
</startPtX>
<startPtY>
655.0
</startPtY>
<endPtX>
390.0
865.0
</endPtX>
<endPtY>
775.0
</endPtY>
<startCtrlPtX>
390.0
865.0
</startCtrlPtX>
<startCtrlPtY>
674.0
680.0
</startCtrlPtY>
<endCtrlPtY>
390.0
865.0
</endCtrlPtY>
<endCtrlPtY>
755.0
749.0
</endCtrlPtY>
<startStateIndex>
9
7248,6 → 13851,429
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state14_3
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h2014
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b111
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</we>
</attributes>
<x0>
800
</x0>
<y0>
1025
</y0>
<x1>
930
</x1>
<y1>
1155
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
7256,7 → 14282,7
ABS
</status>
<value>
trans11
trans27
<status>
LOCAL
</status>
7300,9 → 14326,9
ABS
</status>
<value>
ack
1
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
7330,10 → 14356,10
</status>
</color>
<x2Obj>
13
9
</x2Obj>
<y2Obj>
45
46
</y2Obj>
<page>
1
7341,31 → 14367,31
</equation>
</attributes>
<startState>
state3
state14_2
</startState>
<endState>
state4
state14_3
</endState>
<startPtX>
390.0
865.0
</startPtX>
<startPtY>
905.0
</startPtY>
<endPtX>
390.0
865.0
</endPtX>
<endPtY>
1025.0
</endPtY>
<startCtrlPtX>
390.0
865.0
</startCtrlPtX>
<startCtrlPtY>
930.0
</startCtrlPtY>
<endCtrlPtY>
390.0
865.0
</endCtrlPtY>
<endCtrlPtY>
999.0
7419,7 → 14445,7
ABS
</status>
<value>
trans12
trans28
<status>
LOCAL
</status>
7463,9 → 14489,9
ABS
</status>
<value>
ack
1
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
7493,10 → 14519,10
</status>
</color>
<x2Obj>
17
-10
</x2Obj>
<y2Obj>
-15
-70
</y2Obj>
<page>
1
7504,40 → 14530,40
</equation>
</attributes>
<startState>
state4
state14_3
</startState>
<endState>
state5
state15
</endState>
<startPtX>
431.0
906.0
</startPtX>
<startPtY>
1040.0
</startPtY>
<endPtX>
569.0
983.0
</endPtX>
<endPtY>
136.0
122.0
</endPtY>
<startCtrlPtX>
494.0
973.0
</startCtrlPtX>
<startCtrlPtY>
834.0
835.0
</startCtrlPtY>
<endCtrlPtY>
524.0
906.0
</endCtrlPtY>
<endCtrlPtY>
254.0
264.0
</endCtrlPtY>
<startStateIndex>
31
</startStateIndex>
<endStateIndex>
13
15
</endStateIndex>
<page>
1
7574,6 → 14600,169
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans29
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state15
</startState>
<endState>
state17_0
</endState>
<startPtX>
1040.0
</startPtX>
<startPtY>
155.0
</startPtY>
<endPtX>
1040.0
</endPtX>
<endPtY>
275.0
</endPtY>
<startCtrlPtX>
1040.0
</startCtrlPtX>
<startCtrlPtY>
180.0
</startCtrlPtY>
<endCtrlPtY>
1040.0
</endCtrlPtY>
<endCtrlPtY>
249.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
7582,7 → 14771,7
ABS
</status>
<value>
state5
state17_2
<status>
LOCAL
</status>
7626,9 → 14815,9
GLOBAL_FIXED
</status>
<value>
32'h0
32'h2000
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
7758,9 → 14947,9
GLOBAL_FIXED
</status>
<value>
2'b00
2'b01
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
7802,9 → 14991,9
GLOBAL_FIXED
</status>
<value>
3'b000
3'b010
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
7846,9 → 15035,9
GLOBAL_FIXED
</status>
<value>
1'b0
1'b1
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
7890,6 → 15079,50
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
7928,6 → 15161,385
<page>
1
</page>
</we>
</attributes>
<x0>
975
</x0>
<y0>
775
</y0>
<x1>
1105
</x1>
<y1>
905
</y1>
<reset>
false
</reset>
<page>
1
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
state17_3
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<adr[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h2000
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</adr[31:0]>
<dat[31:0]>
<status>
GLOBAL_FIXED
</status>
<value>
32'h0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</dat[31:0]>
<sel[3:0]>
<status>
GLOBAL_FIXED
</status>
<value>
4'b1111
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</sel[3:0]>
<bte[1:0]>
<status>
GLOBAL_FIXED
</status>
<value>
2'b01
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</bte[1:0]>
<cti[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
3'b111
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cti[2:0]>
<cyc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</cyc>
<stb>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</stb>
<we>
<status>
7975,16 → 15587,16
</we>
</attributes>
<x0>
546
975
</x0>
<y0>
22
1025
</y0>
<x1>
676
1105
</x1>
<y1>
152
1155
</y1>
<reset>
false
8005,7 → 15617,7
ABS
</status>
<value>
trans13
trans30
<status>
LOCAL
</status>
8079,7 → 15691,7
</status>
</color>
<x2Obj>
9
10
</x2Obj>
<y2Obj>
47
8090,34 → 15702,34
</equation>
</attributes>
<startState>
state5
state17_1
</startState>
<endState>
state6
state17_2
</endState>
<startPtX>
611.0
1040.0
</startPtX>
<startPtY>
152.0
655.0
</startPtY>
<endPtX>
615.0
1040.0
</endPtX>
<endPtY>
275.0
775.0
</endPtY>
<startCtrlPtX>
611.0
1040.0
</startCtrlPtX>
<startCtrlPtY>
177.0
680.0
</startCtrlPtY>
<endCtrlPtY>
615.0
1040.0
</endCtrlPtY>
<endCtrlPtY>
248.0
749.0
</endCtrlPtY>
<startStateIndex>
9
8160,100 → 15772,656
</stub>
</transition>
## END STATE TRANSITION OBJECT
<textObj>
(NOP)
<x>
528
</x>
<y>
35
</y>
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans31
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
9
</x2Obj>
<y2Obj>
48
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state17_2
</startState>
<endState>
state17_3
</endState>
<startPtX>
1040.0
</startPtX>
<startPtY>
905.0
</startPtY>
<endPtX>
1040.0
</endPtX>
<endPtY>
1025.0
</endPtY>
<startCtrlPtX>
1040.0
</startCtrlPtX>
<startCtrlPtY>
930.0
</startCtrlPtY>
<endCtrlPtY>
1040.0
</endCtrlPtY>
<endCtrlPtY>
999.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
</textObj>
<textObj>
(NOP)
<x>
531
</x>
<y>
797
</y>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans32
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
2
</x2Obj>
<y2Obj>
-50
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state17_3
</startState>
<endState>
state19
</endState>
<startPtX>
1081.0
</startPtX>
<startPtY>
1040.0
</startPtY>
<endPtX>
1153.0
</endPtX>
<endPtY>
112.0
</endPtY>
<startCtrlPtX>
1144.0
</startCtrlPtX>
<startCtrlPtY>
828.0
</startCtrlPtY>
<endCtrlPtY>
1111.0
</endCtrlPtY>
<endCtrlPtY>
268.0
</endCtrlPtY>
<startStateIndex>
31
</startStateIndex>
<endStateIndex>
16
</endStateIndex>
<page>
1
</page>
</textObj>
<textObj>
(NOP)
<x>
781
</x>
<y>
279
</y>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans33
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ack
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
13
</x2Obj>
<y2Obj>
44
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state14_0
</startState>
<endState>
state14_1
</endState>
<startPtX>
865.0
</startPtX>
<startPtY>
405.0
</startPtY>
<endPtX>
865.0
</endPtX>
<endPtY>
525.0
</endPtY>
<startCtrlPtX>
865.0
</startCtrlPtX>
<startCtrlPtY>
430.0
</startCtrlPtY>
<endCtrlPtY>
865.0
</endCtrlPtY>
<endCtrlPtY>
499.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
</textObj>
<textObj>
(NOP)
<x>
311
</x>
<y>
33
</y>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans34
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
1
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ack
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
15
</x2Obj>
<y2Obj>
44
</y2Obj>
<page>
1
</page>
</equation>
</attributes>
<startState>
state17_0
</startState>
<endState>
state17_1
</endState>
<startPtX>
1040.0
</startPtX>
<startPtY>
405.0
</startPtY>
<endPtX>
1040.0
</endPtX>
<endPtY>
525.0
</endPtY>
<startCtrlPtX>
1040.0
</startCtrlPtX>
<startCtrlPtY>
430.0
</startCtrlPtY>
<endCtrlPtY>
1040.0
</endCtrlPtY>
<endCtrlPtY>
499.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
1
</page>
</textObj>
<textObj>
(Burst write)
<x>
288
</x>
<y>
280
</y>
<page>
1
</page>
</textObj>
<textObj>
(Read burst)
<x>
542
</x>
<y>
274
</y>
<page>
1
</page>
</textObj>
<textObj>
(NOP)
<x>
541
</x>
<y>
531
</y>
<page>
1
</page>
</textObj>
<textObj>
(Read burst)
<x>
508
</x>
<y>
1035
</y>
<page>
1
</page>
</textObj>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## END OBJECTS

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.