URL
https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk
Subversion Repositories versatile_mem_ctrl
Compare Revisions
- This comparison shows the changes necessary to convert path
/versatile_mem_ctrl/trunk/rtl
- from Rev 4 to Rev 5
- ↔ Reverse comparison
Rev 4 → Rev 5
/verilog/sdr_16_defines.v
72,9 → 72,9
// Adr to SDRAM {ba[1:0],a[12:0]} |
`define A_LMR {2'b00,3'b000,`WB,2'b00,3'd`CL,`BT,`BL} |
`define A_PRE {2'b00,13'b0010000000000} |
`define A_ACT {ba,row} |
`define A_READ {ba,col} |
`define A_WRITE {ba,col} |
`define A_ACT {`BA,`ROW} |
`define A_READ {`BA,`COL} |
`define A_WRITE {`BA,`COL} |
`define A_DEFAULT {2'b00,13'b0000000000000} |
|
// command |
/verilog/versatile_mem_ctrl_defines.v
1,3 → 1,4
`define ACTEL |
`define SDR_16 |
|
`define PORT0 |
/verilog/delay.v
0,0 → 1,30
`timescale 1ns/1ns |
module delay |
( |
input [3:0] d, |
output [3:0] q, |
input clk, |
input rst |
); |
|
parameter depth = 3; |
reg [3:0] dffs [1:depth]; |
|
integer i; |
|
always @ (posedge clk or posedge rst) |
if (rst) |
for ( i=1; i <= depth; i=i+1) |
dffs[i] <= 4'h0; |
else |
begin |
dffs[1] <= d; |
for ( i=2; i <= depth; i=i+1 ) |
dffs[i] <= dffs[i-1]; |
end |
|
assign q = dffs[depth]; |
|
endmodule //delay |
|
|
/verilog/inc_adr.v
3,7 → 3,6
input [3:0] adr_i, |
input [2:0] cti_i, |
input [1:0] bte_i, |
input [7:0] fifo_empty, |
input init, |
input inc, |
output reg [3:0] adr_o, |
/verilog/fifo_fill.fzm
0,0 → 1,10815
## File last modified by Fizzim: 18:55:44 on 2009-06-24 |
<version> |
8.04.05 |
</version> |
<globals> |
<machine> |
<name> |
<status> |
ABS |
</status> |
<value> |
fifo_fill |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</name> |
<clock> |
<status> |
ABS |
</status> |
<value> |
clk |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
posedge |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</clock> |
<reset_signal> |
<status> |
ABS |
</status> |
<value> |
rst |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
posedge |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</reset_signal> |
<reset_state> |
<status> |
ABS |
</status> |
<value> |
idle |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</reset_state> |
<implied_loopback> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</implied_loopback> |
<insert_at_top_of_file> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
`define EOB (!(|cti) | &cti) |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</insert_at_top_of_file> |
</machine> |
<inputs> |
<clk> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</clk> |
<rst> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</rst> |
<bte[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</bte[1:0]> |
<cti[2:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</cti[2:0]> |
<we> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</we> |
<cyc> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</cyc> |
<stb> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</stb> |
<we_ack> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</we_ack> |
<ack> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</ack> |
</inputs> |
<outputs> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
comb |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
comb |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</wbs_flag> |
</outputs> |
<state> |
<name> |
<status> |
ABS |
</status> |
<value> |
def_name |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</wbs_flag> |
</state> |
<trans> |
<name> |
<status> |
ABS |
</status> |
<value> |
def_name |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</priority> |
</trans> |
</globals> |
<tabs> |
Page 1 |
</tabs> |
## START PREFERENCES |
<SCounter> |
17 |
</SCounter> |
<TCounter> |
35 |
</TCounter> |
<TableVis> |
true |
</TableVis> |
<TableSpace> |
20 |
</TableSpace> |
<TableFont> |
Arial |
11 |
</TableFont> |
<TableColor> |
-16777216 |
</TableColor> |
<Font> |
Arial |
11 |
</Font> |
<Grid> |
false |
25 |
</Grid> |
## END PREFERENCES |
## START OBJECTS |
<textObj> |
fzm_globalTable |
<x> |
10 |
</x> |
<y> |
10 |
</y> |
<page> |
1 |
</page> |
</textObj> |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
idle |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
cyc & stb |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
-2 |
</x2Obj> |
<y2Obj> |
-1 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
538 |
</x0> |
<y0> |
40 |
</y0> |
<x1> |
638 |
</x1> |
<y1> |
140 |
</y1> |
<reset> |
true |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
684 |
</x0> |
<y0> |
82 |
</y0> |
<x1> |
784 |
</x1> |
<y1> |
182 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans0 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
cyc & stb & we_ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
33 |
</x2Obj> |
<y2Obj> |
-29 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
idle |
</startState> |
<endState> |
state1 |
</endState> |
<startPtX> |
637.0 |
</startPtX> |
<startPtY> |
81.0 |
</startPtY> |
<endPtX> |
690.0 |
</endPtX> |
<endPtY> |
107.0 |
</endPtY> |
<startCtrlPtX> |
662.0 |
</startCtrlPtX> |
<startCtrlPtY> |
78.0 |
</startCtrlPtY> |
<endCtrlPtY> |
682.0 |
</endCtrlPtY> |
<endCtrlPtY> |
85.0 |
</endCtrlPtY> |
<startStateIndex> |
35 |
</startStateIndex> |
<endStateIndex> |
21 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state2 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
755 |
</x0> |
<y0> |
210 |
</y0> |
<x1> |
855 |
</x1> |
<y1> |
310 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state3 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
787 |
</x0> |
<y0> |
344 |
</y0> |
<x1> |
887 |
</x1> |
<y1> |
444 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state4 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
784 |
</x0> |
<y0> |
482 |
</y0> |
<x1> |
884 |
</x1> |
<y1> |
582 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state5 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
757 |
</x0> |
<y0> |
622 |
</y0> |
<x1> |
857 |
</x1> |
<y1> |
722 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state6 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
740 |
</x0> |
<y0> |
750 |
</y0> |
<x1> |
840 |
</x1> |
<y1> |
850 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state7 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
714 |
</x0> |
<y0> |
873 |
</y0> |
<x1> |
814 |
</x1> |
<y1> |
973 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state8 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
576 |
</x0> |
<y0> |
960 |
</y0> |
<x1> |
676 |
</x1> |
<y1> |
1060 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state9 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
384 |
</x0> |
<y0> |
975 |
</y0> |
<x1> |
484 |
</x1> |
<y1> |
1075 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state10 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
324 |
</x0> |
<y0> |
824 |
</y0> |
<x1> |
424 |
</x1> |
<y1> |
924 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state11 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
303 |
</x0> |
<y0> |
679 |
</y0> |
<x1> |
403 |
</x1> |
<y1> |
779 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state12 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
277 |
</x0> |
<y0> |
552 |
</y0> |
<x1> |
377 |
</x1> |
<y1> |
652 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state13 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
-1 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
272 |
</x0> |
<y0> |
426 |
</y0> |
<x1> |
372 |
</x1> |
<y1> |
526 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state14 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
278 |
</x0> |
<y0> |
307 |
</y0> |
<x1> |
378 |
</x1> |
<y1> |
407 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state15 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
295 |
</x0> |
<y0> |
189 |
</y0> |
<x1> |
395 |
</x1> |
<y1> |
289 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE OBJECT |
<state> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
state16 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<we_req> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
we & stb |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</we_req> |
<wbs_flag> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</wbs_flag> |
</attributes> |
<x0> |
388 |
</x0> |
<y0> |
81 |
</y0> |
<x1> |
488 |
</x1> |
<y1> |
181 |
</y1> |
<reset> |
false |
</reset> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
</state> |
## END STATE OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
23 |
</x2Obj> |
<y2Obj> |
-18 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state1 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
784.0 |
</startPtX> |
<startPtY> |
132.0 |
</startPtY> |
<endPtX> |
637.0 |
</endPtX> |
<endPtY> |
98.0 |
</endPtY> |
<startCtrlPtX> |
673.0 |
</startCtrlPtX> |
<startCtrlPtY> |
124.0 |
</startCtrlPtY> |
<endCtrlPtY> |
644.0 |
</endCtrlPtY> |
<endCtrlPtY> |
102.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
1 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
844.0 |
</PageSX> |
<pageSY> |
132.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans2 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
31 |
</x2Obj> |
<y2Obj> |
-8 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state1 |
</startState> |
<endState> |
state2 |
</endState> |
<startPtX> |
759.0 |
</startPtX> |
<startPtY> |
175.0 |
</startPtY> |
<endPtX> |
780.0 |
</endPtX> |
<endPtY> |
216.0 |
</endPtY> |
<startCtrlPtX> |
763.0 |
</startCtrlPtX> |
<startCtrlPtY> |
180.0 |
</startCtrlPtY> |
<endCtrlPtY> |
780.0 |
</endCtrlPtY> |
<endCtrlPtY> |
206.0 |
</endCtrlPtY> |
<startStateIndex> |
6 |
</startStateIndex> |
<endStateIndex> |
24 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans3 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
46 |
</x2Obj> |
<y2Obj> |
-3 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state2 |
</startState> |
<endState> |
state3 |
</endState> |
<startPtX> |
813.0 |
</startPtX> |
<startPtY> |
309.0 |
</startPtY> |
<endPtX> |
828.0 |
</endPtX> |
<endPtY> |
344.0 |
</endPtY> |
<startCtrlPtX> |
815.0 |
</startCtrlPtX> |
<startCtrlPtY> |
314.0 |
</startCtrlPtY> |
<endCtrlPtY> |
828.0 |
</endCtrlPtY> |
<endCtrlPtY> |
336.0 |
</endCtrlPtY> |
<startStateIndex> |
8 |
</startStateIndex> |
<endStateIndex> |
26 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans4 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
26 |
</x2Obj> |
<y2Obj> |
5 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state3 |
</startState> |
<endState> |
state4 |
</endState> |
<startPtX> |
837.0 |
</startPtX> |
<startPtY> |
444.0 |
</startPtY> |
<endPtX> |
834.0 |
</endPtX> |
<endPtY> |
482.0 |
</endPtY> |
<startCtrlPtX> |
837.0 |
</startCtrlPtX> |
<startCtrlPtY> |
451.0 |
</startCtrlPtY> |
<endCtrlPtY> |
834.0 |
</endCtrlPtY> |
<endCtrlPtY> |
474.0 |
</endCtrlPtY> |
<startStateIndex> |
9 |
</startStateIndex> |
<endStateIndex> |
27 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans5 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
(bte==2'b01) & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
14 |
</x2Obj> |
<y2Obj> |
161 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
-6 |
</x2Obj> |
<y2Obj> |
164 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state4 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
809.0 |
</startPtX> |
<startPtY> |
488.0 |
</startPtY> |
<endPtX> |
613.0 |
</endPtX> |
<endPtY> |
133.0 |
</endPtY> |
<startCtrlPtX> |
746.0 |
</startCtrlPtX> |
<startCtrlPtY> |
461.0 |
</startCtrlPtY> |
<endCtrlPtY> |
612.0 |
</endCtrlPtY> |
<endCtrlPtY> |
220.0 |
</endCtrlPtY> |
<startStateIndex> |
24 |
</startStateIndex> |
<endStateIndex> |
6 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans6 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state2 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
855.0 |
</startPtX> |
<startPtY> |
260.0 |
</startPtY> |
<endPtX> |
626.0 |
</endPtX> |
<endPtY> |
122.0 |
</endPtY> |
<startCtrlPtX> |
731.0 |
</startCtrlPtX> |
<startCtrlPtY> |
223.0 |
</startCtrlPtY> |
<endCtrlPtY> |
639.0 |
</endCtrlPtY> |
<endCtrlPtY> |
146.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
4 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
915.0 |
</PageSX> |
<pageSY> |
260.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans7 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state3 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
887.0 |
</startPtX> |
<startPtY> |
394.0 |
</startPtY> |
<endPtX> |
620.0 |
</endPtX> |
<endPtY> |
128.0 |
</endPtY> |
<startCtrlPtX> |
760.0 |
</startCtrlPtX> |
<startCtrlPtY> |
335.0 |
</startCtrlPtY> |
<endCtrlPtY> |
627.0 |
</endCtrlPtY> |
<endCtrlPtY> |
183.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
5 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
947.0 |
</PageSX> |
<pageSY> |
394.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans8 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state4 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
884.0 |
</startPtX> |
<startPtY> |
532.0 |
</startPtY> |
<endPtX> |
613.0 |
</endPtX> |
<endPtY> |
133.0 |
</endPtY> |
<startCtrlPtX> |
766.0 |
</startCtrlPtX> |
<startCtrlPtY> |
442.0 |
</startCtrlPtY> |
<endCtrlPtY> |
612.0 |
</endCtrlPtY> |
<endCtrlPtY> |
220.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
6 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
944.0 |
</PageSX> |
<pageSY> |
532.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans9 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state4 |
</startState> |
<endState> |
state5 |
</endState> |
<startPtX> |
825.0 |
</startPtX> |
<startPtY> |
581.0 |
</startPtY> |
<endPtX> |
815.0 |
</endPtX> |
<endPtY> |
622.0 |
</endPtY> |
<startCtrlPtX> |
825.0 |
</startCtrlPtX> |
<startCtrlPtY> |
590.0 |
</startCtrlPtY> |
<endCtrlPtY> |
816.0 |
</endCtrlPtY> |
<endCtrlPtY> |
614.0 |
</endCtrlPtY> |
<startStateIndex> |
10 |
</startStateIndex> |
<endStateIndex> |
28 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans10 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state5 |
</startState> |
<endState> |
state6 |
</endState> |
<startPtX> |
798.0 |
</startPtX> |
<startPtY> |
721.0 |
</startPtY> |
<endPtX> |
798.0 |
</endPtX> |
<endPtY> |
750.0 |
</endPtY> |
<startCtrlPtX> |
798.0 |
</startCtrlPtX> |
<startCtrlPtY> |
727.0 |
</startCtrlPtY> |
<endCtrlPtY> |
798.0 |
</endCtrlPtY> |
<endCtrlPtY> |
744.0 |
</endCtrlPtY> |
<startStateIndex> |
10 |
</startStateIndex> |
<endStateIndex> |
28 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans11 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state5 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
857.0 |
</startPtX> |
<startPtY> |
672.0 |
</startPtY> |
<endPtX> |
605.0 |
</endPtX> |
<endPtY> |
136.0 |
</endPtY> |
<startCtrlPtX> |
753.0 |
</startCtrlPtX> |
<startCtrlPtY> |
547.0 |
</startCtrlPtY> |
<endCtrlPtY> |
597.0 |
</endCtrlPtY> |
<endCtrlPtY> |
256.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
7 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
917.0 |
</PageSX> |
<pageSY> |
672.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans12 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state6 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
840.0 |
</startPtX> |
<startPtY> |
800.0 |
</startPtY> |
<endPtX> |
596.0 |
</endPtX> |
<endPtY> |
139.0 |
</endPtY> |
<startCtrlPtX> |
747.0 |
</startCtrlPtX> |
<startCtrlPtY> |
644.0 |
</startCtrlPtY> |
<endCtrlPtY> |
584.0 |
</endCtrlPtY> |
<endCtrlPtY> |
286.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
8 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
900.0 |
</PageSX> |
<pageSY> |
800.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans13 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state6 |
</startState> |
<endState> |
state7 |
</endState> |
<startPtX> |
781.0 |
</startPtX> |
<startPtY> |
849.0 |
</startPtY> |
<endPtX> |
772.0 |
</endPtX> |
<endPtY> |
873.0 |
</endPtY> |
<startCtrlPtX> |
781.0 |
</startCtrlPtX> |
<startCtrlPtY> |
854.0 |
</startCtrlPtY> |
<endCtrlPtY> |
773.0 |
</endCtrlPtY> |
<endCtrlPtY> |
868.0 |
</endCtrlPtY> |
<startStateIndex> |
10 |
</startStateIndex> |
<endStateIndex> |
28 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans14 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state7 |
</startState> |
<endState> |
state8 |
</endState> |
<startPtX> |
720.0 |
</startPtX> |
<startPtY> |
948.0 |
</startPtY> |
<endPtX> |
669.0 |
</endPtX> |
<endPtY> |
985.0 |
</endPtY> |
<startCtrlPtX> |
714.0 |
</startCtrlPtX> |
<startCtrlPtY> |
955.0 |
</startCtrlPtY> |
<endCtrlPtY> |
680.0 |
</endCtrlPtY> |
<endCtrlPtY> |
984.0 |
</endCtrlPtY> |
<startStateIndex> |
15 |
</startStateIndex> |
<endStateIndex> |
33 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans15 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state7 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
814.0 |
</startPtX> |
<startPtY> |
923.0 |
</startPtY> |
<endPtX> |
596.0 |
</endPtX> |
<endPtY> |
139.0 |
</endPtY> |
<startCtrlPtX> |
728.0 |
</startCtrlPtX> |
<startCtrlPtY> |
736.0 |
</startCtrlPtY> |
<endCtrlPtY> |
583.0 |
</endCtrlPtY> |
<endCtrlPtY> |
312.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
8 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
874.0 |
</PageSX> |
<pageSY> |
923.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans16 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state8 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
676.0 |
</startPtX> |
<startPtY> |
1010.0 |
</startPtY> |
<endPtX> |
588.0 |
</endPtX> |
<endPtY> |
140.0 |
</endPtY> |
<startCtrlPtX> |
613.0 |
</startCtrlPtX> |
<startCtrlPtY> |
786.0 |
</startCtrlPtY> |
<endCtrlPtY> |
584.0 |
</endCtrlPtY> |
<endCtrlPtY> |
321.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
9 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
736.0 |
</PageSX> |
<pageSY> |
1010.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans17 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
(bte==2'b10) & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state8 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
617.0 |
</startPtX> |
<startPtY> |
960.0 |
</startPtY> |
<endPtX> |
588.0 |
</endPtX> |
<endPtY> |
140.0 |
</endPtY> |
<startCtrlPtX> |
613.0 |
</startCtrlPtX> |
<startCtrlPtY> |
786.0 |
</startCtrlPtY> |
<endCtrlPtY> |
584.0 |
</endCtrlPtY> |
<endCtrlPtY> |
321.0 |
</endCtrlPtY> |
<startStateIndex> |
26 |
</startStateIndex> |
<endStateIndex> |
9 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans18 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state8 |
</startState> |
<endState> |
state9 |
</endState> |
<startPtX> |
593.0 |
</startPtX> |
<startPtY> |
1048.0 |
</startPtY> |
<endPtX> |
480.0 |
</endPtX> |
<endPtY> |
1042.0 |
</endPtY> |
<startCtrlPtX> |
552.0 |
</startCtrlPtX> |
<startCtrlPtY> |
1062.0 |
</startCtrlPtY> |
<endCtrlPtY> |
499.0 |
</endCtrlPtY> |
<endCtrlPtY> |
1060.0 |
</endCtrlPtY> |
<startStateIndex> |
13 |
</startStateIndex> |
<endStateIndex> |
2 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans19 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state9 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
484.0 |
</startPtX> |
<startPtY> |
1025.0 |
</startPtY> |
<endPtX> |
579.0 |
</endPtX> |
<endPtY> |
139.0 |
</endPtY> |
<startCtrlPtX> |
448.0 |
</startCtrlPtX> |
<startCtrlPtY> |
762.0 |
</startCtrlPtY> |
<endCtrlPtY> |
560.0 |
</endCtrlPtY> |
<endCtrlPtY> |
297.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
10 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
544.0 |
</PageSX> |
<pageSY> |
1025.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans21 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state9 |
</startState> |
<endState> |
state10 |
</endState> |
<startPtX> |
416.0 |
</startPtX> |
<startPtY> |
978.0 |
</startPtY> |
<endPtX> |
391.0 |
</endPtX> |
<endPtY> |
920.0 |
</endPtY> |
<startCtrlPtX> |
411.0 |
</startCtrlPtX> |
<startCtrlPtY> |
969.0 |
</startCtrlPtY> |
<endCtrlPtY> |
390.0 |
</endCtrlPtY> |
<endCtrlPtY> |
933.0 |
</endCtrlPtY> |
<startStateIndex> |
25 |
</startStateIndex> |
<endStateIndex> |
7 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans22 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state10 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
424.0 |
</startPtX> |
<startPtY> |
874.0 |
</startPtY> |
<endPtX> |
579.0 |
</endPtX> |
<endPtY> |
139.0 |
</endPtY> |
<startCtrlPtX> |
369.0 |
</startCtrlPtX> |
<startCtrlPtY> |
658.0 |
</startCtrlPtY> |
<endCtrlPtY> |
544.0 |
</endCtrlPtY> |
<endCtrlPtY> |
259.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
10 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
484.0 |
</PageSX> |
<pageSY> |
874.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans23 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state10 |
</startState> |
<endState> |
state11 |
</endState> |
<startPtX> |
365.0 |
</startPtX> |
<startPtY> |
824.0 |
</startPtY> |
<endPtX> |
361.0 |
</endPtX> |
<endPtY> |
778.0 |
</endPtY> |
<startCtrlPtX> |
364.0 |
</startCtrlPtX> |
<startCtrlPtY> |
815.0 |
</startCtrlPtY> |
<endCtrlPtY> |
360.0 |
</endCtrlPtY> |
<endCtrlPtY> |
788.0 |
</endCtrlPtY> |
<startStateIndex> |
26 |
</startStateIndex> |
<endStateIndex> |
8 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans24 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state11 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
403.0 |
</startPtX> |
<startPtY> |
729.0 |
</startPtY> |
<endPtX> |
570.0 |
</endPtX> |
<endPtY> |
136.0 |
</endPtY> |
<startCtrlPtX> |
361.0 |
</startCtrlPtX> |
<startCtrlPtY> |
547.0 |
</startCtrlPtY> |
<endCtrlPtY> |
531.0 |
</endCtrlPtY> |
<endCtrlPtY> |
223.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
11 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
463.0 |
</PageSX> |
<pageSY> |
729.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans25 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
18 |
</x2Obj> |
<y2Obj> |
5 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state11 |
</startState> |
<endState> |
state12 |
</endState> |
<startPtX> |
344.0 |
</startPtX> |
<startPtY> |
679.0 |
</startPtY> |
<endPtX> |
335.0 |
</endPtX> |
<endPtY> |
651.0 |
</endPtY> |
<startCtrlPtX> |
342.0 |
</startCtrlPtX> |
<startCtrlPtY> |
673.0 |
</startCtrlPtY> |
<endCtrlPtY> |
338.0 |
</endCtrlPtY> |
<endCtrlPtY> |
663.0 |
</endCtrlPtY> |
<startStateIndex> |
26 |
</startStateIndex> |
<endStateIndex> |
8 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans26 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state12 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
377.0 |
</startPtX> |
<startPtY> |
602.0 |
</startPtY> |
<endPtX> |
563.0 |
</endPtX> |
<endPtY> |
133.0 |
</endPtY> |
<startCtrlPtX> |
349.0 |
</startCtrlPtX> |
<startCtrlPtY> |
452.0 |
</startCtrlPtY> |
<endCtrlPtY> |
519.0 |
</endCtrlPtY> |
<endCtrlPtY> |
190.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
12 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
437.0 |
</PageSX> |
<pageSY> |
602.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans27 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
18 |
</x2Obj> |
<y2Obj> |
5 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state12 |
</startState> |
<endState> |
state13 |
</endState> |
<startPtX> |
318.0 |
</startPtX> |
<startPtY> |
552.0 |
</startPtY> |
<endPtX> |
322.0 |
</endPtX> |
<endPtY> |
526.0 |
</endPtY> |
<startCtrlPtX> |
317.0 |
</startCtrlPtX> |
<startCtrlPtY> |
546.0 |
</startCtrlPtY> |
<endCtrlPtY> |
321.0 |
</endCtrlPtY> |
<endCtrlPtY> |
531.0 |
</endCtrlPtY> |
<startStateIndex> |
26 |
</startStateIndex> |
<endStateIndex> |
9 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans28 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state13 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
372.0 |
</startPtX> |
<startPtY> |
476.0 |
</startPtY> |
<endPtX> |
555.0 |
</endPtX> |
<endPtY> |
128.0 |
</endPtY> |
<startCtrlPtX> |
351.0 |
</startCtrlPtX> |
<startCtrlPtY> |
356.0 |
</startCtrlPtY> |
<endCtrlPtY> |
508.0 |
</endCtrlPtY> |
<endCtrlPtY> |
160.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
13 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
432.0 |
</PageSX> |
<pageSY> |
476.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans29 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
26 |
</x2Obj> |
<y2Obj> |
11 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state13 |
</startState> |
<endState> |
state14 |
</endState> |
<startPtX> |
330.0 |
</startPtX> |
<startPtY> |
426.0 |
</startPtY> |
<endPtX> |
328.0 |
</endPtX> |
<endPtY> |
407.0 |
</endPtY> |
<startCtrlPtX> |
330.0 |
</startCtrlPtX> |
<startCtrlPtY> |
422.0 |
</startCtrlPtY> |
<endCtrlPtY> |
328.0 |
</endCtrlPtY> |
<endCtrlPtY> |
410.0 |
</endCtrlPtY> |
<startStateIndex> |
28 |
</startStateIndex> |
<endStateIndex> |
9 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans30 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state14 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
378.0 |
</startPtX> |
<startPtY> |
357.0 |
</startPtY> |
<endPtX> |
555.0 |
</endPtX> |
<endPtY> |
128.0 |
</endPtY> |
<startCtrlPtX> |
371.0 |
</startCtrlPtX> |
<startCtrlPtY> |
272.0 |
</startCtrlPtY> |
<endCtrlPtY> |
508.0 |
</endCtrlPtY> |
<endCtrlPtY> |
140.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
13 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
438.0 |
</PageSX> |
<pageSY> |
357.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans31 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
27 |
</x2Obj> |
<y2Obj> |
6 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state14 |
</startState> |
<endState> |
state15 |
</endState> |
<startPtX> |
336.0 |
</startPtX> |
<startPtY> |
307.0 |
</startPtY> |
<endPtX> |
336.0 |
</endPtX> |
<endPtY> |
288.0 |
</endPtY> |
<startCtrlPtX> |
336.0 |
</startCtrlPtX> |
<startCtrlPtY> |
303.0 |
</startCtrlPtY> |
<endCtrlPtY> |
336.0 |
</endCtrlPtY> |
<endCtrlPtY> |
291.0 |
</endCtrlPtY> |
<startStateIndex> |
28 |
</startStateIndex> |
<endStateIndex> |
10 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans32 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
`EOB & ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state15 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
395.0 |
</startPtX> |
<startPtY> |
239.0 |
</startPtY> |
<endPtX> |
544.0 |
</endPtX> |
<endPtY> |
115.0 |
</endPtY> |
<startCtrlPtX> |
406.0 |
</startCtrlPtX> |
<startCtrlPtY> |
191.0 |
</startCtrlPtY> |
<endCtrlPtY> |
505.0 |
</endCtrlPtY> |
<endCtrlPtY> |
115.0 |
</endCtrlPtY> |
<startStateIndex> |
0 |
</startStateIndex> |
<endStateIndex> |
15 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
455.0 |
</PageSX> |
<pageSY> |
239.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
true |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans33 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
16 |
</x2Obj> |
<y2Obj> |
13 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state15 |
</startState> |
<endState> |
state16 |
</endState> |
<startPtX> |
377.0 |
</startPtX> |
<startPtY> |
200.0 |
</startPtY> |
<endPtX> |
405.0 |
</endPtX> |
<endPtY> |
169.0 |
</endPtY> |
<startCtrlPtX> |
378.0 |
</startCtrlPtX> |
<startCtrlPtY> |
193.0 |
</startCtrlPtY> |
<endCtrlPtY> |
398.0 |
</endCtrlPtY> |
<endCtrlPtY> |
171.0 |
</endCtrlPtY> |
<startStateIndex> |
31 |
</startStateIndex> |
<endStateIndex> |
13 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## START STATE TRANSITION OBJECT |
<transition> |
<attributes> |
<name> |
<status> |
ABS |
</status> |
<value> |
trans34 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</name> |
<equation> |
<status> |
ABS |
</status> |
<value> |
ack |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
1 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
def_type |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
8 |
</x2Obj> |
<y2Obj> |
18 |
</y2Obj> |
<page> |
1 |
</page> |
</equation> |
<priority> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
1 |
</page> |
</priority> |
</attributes> |
<startState> |
state16 |
</startState> |
<endState> |
idle |
</endState> |
<startPtX> |
487.0 |
</startPtX> |
<startPtY> |
122.0 |
</startPtY> |
<endPtX> |
538.0 |
</endPtX> |
<endPtY> |
98.0 |
</endPtY> |
<startCtrlPtX> |
495.0 |
</startCtrlPtX> |
<startCtrlPtY> |
117.0 |
</startCtrlPtY> |
<endCtrlPtY> |
526.0 |
</endCtrlPtY> |
<endCtrlPtY> |
96.0 |
</endCtrlPtY> |
<startStateIndex> |
35 |
</startStateIndex> |
<endStateIndex> |
17 |
</endStateIndex> |
<page> |
1 |
</page> |
<color> |
-16777216 |
</color> |
<pageSX> |
0.0 |
</PageSX> |
<pageSY> |
0.0 |
</pageSY> |
<pageSCX> |
0.0 |
</PageSCX> |
<pageSCY> |
0.0 |
</pageSCY> |
<pageEX> |
0.0 |
</PageEX> |
<pageEY> |
0.0 |
</pageEY> |
<pageECX> |
0.0 |
</PageECX> |
<pageECY> |
0.0 |
</pageECY> |
<stub> |
false |
</stub> |
</transition> |
## END STATE TRANSITION OBJECT |
## END OBJECTS |
/verilog/versatile_mem_ctrl_top.v
103,17 → 103,17
output wbs7_ack_o, |
`endif // `ifdef PORT7 |
`ifdef SDR_16 |
output reg [1:0] ba_pad_o, |
output reg [12:0] a_pad_o, |
output reg cs_n_pad_o, |
output reg ras_pad_o, |
output reg cas_pad_o, |
output reg we_pad_o, |
output reg [15:0] dq_o, |
output reg [1:0] dqm_pad_o, |
input [15:0] dq_i, |
output reg dq_oe, |
output cke, |
output [1:0] ba_pad_o, |
output [12:0] a_pad_o, |
output cs_n_pad_o, |
output ras_pad_o, |
output cas_pad_o, |
output we_pad_o, |
output [15:0] dq_o, |
output [1:0] dqm_pad_o, |
input [15:0] dq_i, |
output dq_oe, |
output cke_pad_o, |
`endif |
input wb_clk, |
input wb_rst, |
121,26 → 121,68
input sdram_clk |
); |
|
wire [35:0] tx_fifo_dat_i, tx_fifo_dat_o, tx_fifo_dat_wb; |
wire tx_fifo_we, tx_fifo_re, tx_fifo_wb; |
wire [35:0] tx_fifo_dat_i, tx_fifo_dat_o; |
wire tx_fifo_we, tx_fifo_re; |
wire [2:0] tx_fifo_a_sel_i, tx_fifo_b_sel_i; |
reg [2:0] tx_fifo_b_sel_i_cur; |
wire [7:0] tx_fifo_full, tx_fifo_empty; |
|
wire [35:0] rx_fifo_dat_i, rx_fifo_dat_o; |
wire rx_fifo_we, rx_fifo_re; |
wire [2:0] rx_fifo_a_sel_i, rx_fifo_b_sel_i; |
wire [7:0] rx_fifo_full, rx_fifo_empty; |
|
wire [3:0] burst_adr; |
wire adr_init, adr_inc; |
|
wire done; |
wire [14:0] a; |
wire cs_n; |
|
wire ref_req, ref_ack; |
wire ref_zf, ref_ack; |
reg ref_req; |
|
`ifdef PORT0 |
reg wbs0_ack_re; |
`endif |
`ifdef PORT1 |
reg wbs1_ack_re; |
`endif |
`ifdef PORT2 |
reg wbs2_ack_re; |
`endif |
`ifdef PORT3 |
reg wbs3_ack_re; |
`endif |
`ifdef PORT4 |
reg wbs4_ack_re; |
`endif |
`ifdef PORT5 |
reg wbs5_ack_re; |
`endif |
`ifdef PORT6 |
reg wbs6_ack_re; |
`endif |
`ifdef PORT7 |
reg wbs7_ack_re; |
`endif |
|
// counters to keep track of fifo fill |
|
`ifdef PORT0 |
wire wbs0_flag; |
wire wbs0_flag, we_req0; |
fifo_fill cnt0 |
( |
.wbs_flag(wbs0_flag), |
.we_req(we_req0), |
.bte(wbs0_bte_i), |
.cti(wbs0_cti_i), |
.cyc(wbs0_cyc_i), |
.stb(wbs0_stb_i), |
.we(wbs0_we_i), |
.we_ack((tx_fifo_a_sel_i==3'd0) & tx_fifo_we), |
.ack(wbs0_ack_o), |
.clk(wb_clk), |
.rst(wb_rst) |
); |
|
/* |
ctrl_counter cnt0 |
( |
.clear((&wbs0_cti_i | !(|wbs0_cti_i)) & (!wbs0_flag | !wbs0_we_i)), |
149,9 → 191,26
.clk(wb_clk), |
.rst(wb_rst) |
); |
*/ |
`endif |
|
`ifdef PORT1 |
wire wbs1_flag, we_req1; |
fifo_fill cnt1 |
( |
.wbs_flag(wbs1_flag), |
.we_req(we_req1), |
.bte(wbs1_bte_i), |
.cti(wbs1_cti_i), |
.cyc(wbs1_cyc_i), |
.stb(wbs1_stb_i), |
.we(wbs1_we_i), |
.we_ack((tx_fifo_a_sel_i==3'd1) & tx_fifo_we), |
.ack(wbs1_ack_o), |
.clk(wb_clk), |
.rst(wb_rst) |
); |
/* |
wire wbs1_flag; |
ctrl_counter cnt1 |
( |
161,9 → 220,27
.clk(wb_clk), |
.rst(wb_rst) |
); |
*/ |
`endif |
|
`ifdef PORT2 |
wire wbs2_flag, we_req2; |
fifo_fill cnt2 |
( |
.wbs_flag(wbs2_flag), |
.we_req(we_req2), |
.bte(wbs2_bte_i), |
.cti(wbs2_cti_i), |
.cyc(wbs2_cyc_i), |
.stb(wbs2_stb_i), |
.we(wbs2_we_i), |
.we_ack((tx_fifo_a_sel_i==3'd2) & tx_fifo_we), |
.ack(wbs2_ack_o), |
.clk(wb_clk), |
.rst(wb_rst) |
); |
|
/* |
wire wbs2_flag; |
ctrl_counter cnt2 |
( |
173,9 → 250,26
.clk(wb_clk), |
.rst(wb_rst) |
); |
*/ |
`endif |
|
`ifdef PORT3 |
wire wbs3_flag, we_req3; |
fifo_fill cnt3 |
( |
.wbs_flag(wbs3_flag), |
.we_req(we_req3), |
.bte(wbs3_bte_i), |
.cti(wbs3_cti_i), |
.cyc(wbs3_cyc_i), |
.stb(wbs3_stb_i), |
.we(wbs3_we_i), |
.we_ack((tx_fifo_a_sel_i==3'd3) & tx_fifo_we), |
.ack(wbs3_ack_o), |
.clk(wb_clk), |
.rst(wb_rst) |
); |
/* |
wire wbs3_flag; |
ctrl_counter cnt3 |
( |
185,9 → 279,27
.clk(wb_clk), |
.rst(wb_rst) |
); |
*/ |
`endif |
|
`ifdef PORT4 |
|
wire wbs4_flag, we_req4; |
fifo_fill cnt4 |
( |
.wbs_flag(wbs4_flag), |
.we_req(we_req4), |
.bte(wbs4_bte_i), |
.cti(wbs4_cti_i), |
.cyc(wbs4_cyc_i), |
.stb(wbs4_stb_i), |
.we(wbs4_we_i), |
.we_ack((tx_fifo_a_sel_i==3'd4) & tx_fifo_we), |
.ack(wbs4_ack_o), |
.clk(wb_clk), |
.rst(wb_rst) |
); |
/* |
wire wbs4_flag; |
ctrl_counter cnt4 |
( |
197,9 → 309,26
.clk(wb_clk), |
.rst(wb_rst) |
); |
*/ |
`endif |
|
`ifdef PORT5 |
wire wbs5_flag, we_req5; |
fifo_fill cnt0 |
( |
.wbs_flag(wbs5_flag), |
.we_req(we_req5), |
.bte(wbs5_bte_i), |
.cti(wbs5_cti_i), |
.cyc(wbs5_cyc_i), |
.stb(wbs5_stb_i), |
.we(wbs5_we_i), |
.we_ack((tx_fifo_a_sel_i==3'd5) & tx_fifo_we), |
.ack(wbs5_ack_o), |
.clk(wb_clk), |
.rst(wb_rst) |
); |
/* |
wire wbs5_flag; |
ctrl_counter cnt5 |
( |
209,9 → 338,27
.clk(wb_clk), |
.rst(wb_rst) |
); |
*/ |
`endif |
|
`ifdef PORT6 |
wire wbs6_flag, we_req6; |
fifo_fill cnt6 |
( |
.wbs_flag(wbs6_flag), |
.we_req(we_req6), |
.bte(wbs6_bte_i), |
.cti(wbs6_cti_i), |
.cyc(wbs6_cyc_i), |
.stb(wbs6_stb_i), |
.we(wbs6_we_i), |
.we_ack((tx_fifo_a_sel_i==3'd6) & tx_fifo_we), |
.ack(wbs6_ack_o), |
.clk(wb_clk), |
.rst(wb_rst) |
); |
|
/* |
wire wbs6_flag; |
ctrl_counter cnt6 |
( |
221,9 → 368,27
.clk(wb_clk), |
.rst(wb_rst) |
); |
*/ |
`endif |
|
`ifdef PORT7 |
wire wbs7_flag, we_req7; |
fifo_fill cnt7 |
( |
.wbs_flag(wbs7_flag), |
.we_req(we_req7), |
.bte(wbs7_bte_i), |
.cti(wbs7_cti_i), |
.cyc(wbs7_cyc_i), |
.stb(wbs7_stb_i), |
.we(wbs7_we_i), |
.we_ack((tx_fifo_a_sel_i==3'd7) & tx_fifo_we), |
.ack(wbs7_ack_o), |
.clk(wb_clk), |
.rst(wb_rst) |
); |
|
/* |
wire wbs7_flag; |
ctrl_counter cnt7 |
( |
233,11 → 398,13
.clk(wb_clk), |
.rst(wb_rst) |
); |
*/ |
`endif |
|
// priority order - ongoing,4,5,6,7,0,1,2,3 |
assign {tx_fifo_a_sel_i,tx_fifo_we} |
= |
/* |
`ifdef PORT4 |
(!wbs4_flag & wbs4_stb_i & !tx_fifo_full[4]) ? {3'd4,1'b1} : |
`endif |
251,7 → 418,7
(!wbs7_flag & wbs7_stb_i & !tx_fifo_full[7]) ? {3'd7,1'b1} : |
`endif |
`ifdef PORT0 |
(!wbs0_flag & wbs0_stb_i & !tx_fifo_full[0]) ? {3'd0,1'b1} : |
(!wbs0_flag & we_req0 & !tx_fifo_full[0]) ? {3'd0,1'b1} : |
`endif |
`ifdef PORT1 |
(!wbs1_flag & wbs1_stb_i & !tx_fifo_full[1]) ? {3'd1,1'b1} : |
262,29 → 429,30
`ifdef PORT3 |
(!wbs3_flag & wbs3_stb_i & !tx_fifo_full[3]) ? {3'd3,1'b1} : |
`endif |
*/ |
`ifdef PORT4 |
(wbs4_stb_i & !tx_fifo_full[4]) ? {3'd4,1'b1} : |
(we_req4 & !tx_fifo_full[4]) ? {3'd4,1'b1} : |
`endif |
`ifdef PORT5 |
(wbs5_stb_i & !tx_fifo_full[5]) ? {3'd5,1'b1} : |
(we_req5 & !tx_fifo_full[5]) ? {3'd5,1'b1} : |
`endif |
`ifdef PORT6 |
(wbs6_stb_i & !tx_fifo_full[6]) ? {3'd6,1'b1} : |
(we_req6 & !tx_fifo_full[6]) ? {3'd6,1'b1} : |
`endif |
`ifdef PORT7 |
(wbs7_stb_i & !tx_fifo_full[7]) ? {3'd7,1'b1} : |
(we_req7 & !tx_fifo_full[7]) ? {3'd7,1'b1} : |
`endif |
`ifdef PORT0 |
(wbs0_stb_i & !tx_fifo_full[0]) ? {3'd0,1'b1} : |
(we_req0 & !tx_fifo_full[0]) ? {3'd0,1'b1} : |
`endif |
`ifdef PORT1 |
(wbs1_stb_i & !tx_fifo_full[1]) ? {3'd1,1'b1} : |
(we_req1 & !tx_fifo_full[1]) ? {3'd1,1'b1} : |
`endif |
`ifdef PORT2 |
(wbs2_stb_i & !tx_fifo_full[2]) ? {3'd2,1'b1} : |
(we_req2 & !tx_fifo_full[2]) ? {3'd2,1'b1} : |
`endif |
`ifdef PORT3 |
(wbs3_stb_i & !tx_fifo_full[3]) ? {3'd3,1'b1} : |
(we_req3 & !tx_fifo_full[3]) ? {3'd3,1'b1} : |
`endif |
{3'd0,1'b0}; |
|
327,13 → 495,13
|
fifo tx_fifo |
( |
// A side |
// A side (wb) |
.a_dat_i(tx_fifo_dat_i), |
.a_we_i(tx_fifo_we), |
.a_fifo_sel_i(tx_fifo_a_sel_i), |
.a_fifo_full_o(tx_fifo_full), |
.a_clk(wb_clk), |
// B side |
// B side (sdram) |
.b_dat_o(tx_fifo_dat_o), |
.b_re_i(tx_fifo_re), |
.b_fifo_sel_i(tx_fifo_b_sel_i), |
367,7 → 535,6
.adr_i(tx_fifo_dat_o[9:6]), |
.bte_i(tx_fifo_dat_o[4:3]), |
.cti_i(tx_fifo_dat_o[2:0]), |
.fifo_empty(tx_fifo_empty), |
.init(adr_init), |
.inc(adr_inc), |
.adr_o(burst_adr), |
376,16 → 543,26
.rst(wb_rst) |
); |
|
`ifdef SDR_16 |
reg dq_flag; |
wire [2:0] cmd; |
|
ref_counter ref_counter0 |
( |
.zq(ref_req), |
.zq(ref_zf), |
.clk(sdram_clk), |
.rst(wb_rst) |
); |
|
always @ (posedge sdram_clk or posedge wb_rst) |
if (wb_rst) |
ref_req <= 1'b1; |
else |
if (ref_zf) |
ref_req <= 1'b1; |
else if (ref_ack) |
ref_req <= 1'b0; |
|
|
`ifdef SDR_16 |
wire read; |
reg [15:0] dq_i_reg, dq_i_tmp_reg; |
|
// SDR SDRAM 16 FSM |
sdr_16 sdr_16_0 |
393,69 → 570,185
.adr_inc(adr_inc), |
.adr_init(adr_init), |
.fifo_re(tx_fifo_re), |
.ba(`BA), |
.row(`ROW), |
.col(`COL), |
.we(tx_fifo_dat_o[5]), |
.tx_fifo_dat_o(tx_fifo_dat_o), |
.burst_adr(burst_adr), |
.done(done), |
.fifo_empty(tx_fifo_empty), |
.fifo_sel(tx_fifo_b_sel_i_cur), |
.read(read), |
// refresh |
.ref_req(ref_req), |
.ref_ack(ref_ack), |
// sdram |
.a(a), |
.cmd(cmd), |
.cs_n(cs_n), |
.dq(dq_o), |
.dqm(dqm_pad_o), |
.dq_oe(dq_oe), |
.a({ba_pad_o,a_pad_o}), |
.cmd({ras_pad_o,cas_pad_o,we_pad_o}), |
.cs_n(cs_n_pad_o), |
.sdram_clk(sdram_clk), |
.wb_rst(wb_rst) |
); |
|
assign cke_pad_o = 1'b1; |
|
defparam delay0.depth=`CL+2; |
delay delay0 |
( |
.d({read,tx_fifo_b_sel_i_cur}), |
.q({rx_fifo_we,rx_fifo_a_sel_i}), |
.clk(sdram_clk), |
.rst(wb_rst) |
); |
|
always @ (posedge sdram_clk or posedge wb_rst) |
if (wb_rst) |
{dq_o,dqm_pad_o,dq_oe,dq_flag} <= {16'h0000,2'b00,1'b0,1'b0}; |
{dq_i_reg, dq_i_tmp_reg} <= {16'h0000,16'h0000}; |
else |
if (cmd == `CMD_WRITE) |
{dq_o,dqm_pad_o,dq_oe,dq_flag} <= {tx_fifo_dat_o[35:20],!tx_fifo_dat_o[3:2],1'b1,1'b1}; |
else if (dq_flag) |
{dq_o,dqm_pad_o,dq_oe,dq_flag} <= {tx_fifo_dat_o[19: 4],!tx_fifo_dat_o[1:0],1'b1,1'b0}; |
else |
{dq_oe,dq_flag} <= {1'b0,1'b0}; |
{dq_i_reg, dq_i_tmp_reg} <= {dq_i, dq_i_reg}; |
|
always @ (posedge sdram_clk or posedge wb_rst) |
assign rx_fifo_dat_i = {dq_i_tmp_reg, dq_i_reg, 4'h0}; |
|
`endif // `ifdef SDR_16 |
|
// receiving side FIFO |
fifo rx_fifo |
( |
// A side (sdram) |
.a_dat_i(rx_fifo_dat_i), |
.a_we_i(rx_fifo_we), |
.a_fifo_sel_i(rx_fifo_a_sel_i), |
.a_fifo_full_o(rx_fifo_full), |
.a_clk(sdram_clk), |
// B side (wb) |
.b_dat_o(rx_fifo_dat_o), |
.b_re_i(rx_fifo_re), |
.b_fifo_sel_i(rx_fifo_b_sel_i), |
.b_fifo_empty_o(rx_fifo_empty), |
.b_clk(wb_clk), |
// misc |
.rst(wb_rst) |
); |
|
// WB/FIFO readout priority |
// 4,5,6,7,0,1,2,3 |
assign {rx_fifo_re, rx_fifo_b_sel_i} = |
`ifdef PORT4 |
!rx_fifo_empty[4] & wbs4_stb_i ? {1'b1,3'd4} : |
`endif |
`ifdef PORT5 |
!rx_fifo_empty[5] & wbs5_stb_i ? {1'b1,3'd5} : |
`endif |
`ifdef PORT6 |
!rx_fifo_empty[6] & wbs6_stb_i ? {1'b1,3'd6} : |
`endif |
`ifdef PORT7 |
!rx_fifo_empty[7] & wbs7_stb_i ? {1'b1,3'd7} : |
`endif |
`ifdef PORT0 |
!rx_fifo_empty[0] & wbs0_stb_i ? {1'b1,3'd0} : |
`endif |
`ifdef PORT1 |
!rx_fifo_empty[1] & wbs1_stb_i ? {1'b1,3'd1} : |
`endif |
`ifdef PORT2 |
!rx_fifo_empty[2] & wbs2_stb_i ? {1'b1,3'd2} : |
`endif |
`ifdef PORT3 |
!rx_fifo_empty[3] & wbs3_stb_i ? {1'b1,3'd3} : |
`endif |
{1'b0,3'd4}; |
|
// ack read |
// delay one cycle to compensate for synchronous FIFO readout |
always @ (posedge wb_clk or posedge wb_rst) |
if (wb_rst) |
{ba_pad_o, a_pad_o, cs_n_pad_o, ras_pad_o, cas_pad_o, we_pad_o} <= {2'b00,13'h0,1'b1,`CMD_NOP}; |
begin |
`ifdef PORT0 |
wbs0_ack_re <= 1'b0; |
`endif |
`ifdef PORT1 |
wbs1_ack_re <= 1'b0; |
`endif |
`ifdef PORT2 |
wbs2_ack_re <= 1'b0; |
`endif |
`ifdef PORT3 |
wbs3_ack_re <= 1'b0; |
`endif |
`ifdef PORT4 |
wbs4_ack_re <= 1'b0; |
`endif |
`ifdef PORT5 |
wbs5_ack_re <= 1'b0; |
`endif |
`ifdef PORT6 |
wbs6_ack_re <= 1'b0; |
`endif |
`ifdef PORT7 |
wbs7_ack_re <= 1'b0; |
`endif |
end |
else |
{ba_pad_o, a_pad_o, cs_n_pad_o, ras_pad_o, cas_pad_o, we_pad_o} <= {a,cs_n,cmd}; |
|
assign cke_pad_o = 1'b1; |
begin |
`ifdef PORT0 |
wbs0_ack_re <= rx_fifo_re & (rx_fifo_b_sel_i == 3'd0); |
`endif |
`ifdef PORT1 |
wbs1_ack_re <= rx_fifo_re & (rx_fifo_b_sel_i == 3'd1); |
`endif |
`ifdef PORT2 |
wbs2_ack_re <= rx_fifo_re & (rx_fifo_b_sel_i == 3'd2); |
`endif |
`ifdef PORT3 |
wbs3_ack_re <= rx_fifo_re & (rx_fifo_b_sel_i == 3'd3); |
`endif |
`ifdef PORT4 |
wbs4_ack_re <= rx_fifo_re & (rx_fifo_b_sel_i == 3'd4); |
`endif |
`ifdef PORT5 |
wbs5_ack_re <= rx_fifo_re & (rx_fifo_b_sel_i == 3'd5); |
`endif |
`ifdef PORT6 |
wbs6_ack_re <= rx_fifo_re & (rx_fifo_b_sel_i == 3'd6); |
`endif |
`ifdef PORT7 |
wbs7_ack_re <= rx_fifo_re & (rx_fifo_b_sel_i == 3'd7); |
`endif |
end |
|
`endif // `ifdef SDR_16 |
|
// ack |
`ifdef PORT0 |
assign wbs0_ack_o = !wbs0_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd0); |
assign wbs0_dat_o = rx_fifo_dat_o[35:4]; |
assign wbs0_ack_o = (!wbs0_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd0)) | wbs0_ack_re; |
`endif |
`ifdef PORT1 |
assign wbs1_ack_o = !wbs1_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd1); |
assign wbs1_dat_o = rx_fifo_dat_o[35:4]; |
assign wbs1_ack_o = (!wbs1_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd1)) | wbs1_ack_re; |
`endif |
`ifdef PORT2 |
assign wbs2_ack_o = !wbs2_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd2); |
assign wbs2_dat_o = rx_fifo_dat_o[35:4]; |
assign wbs2_ack_o = (!wbs2_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd2)) | wbs2_ack_re; |
`endif |
`ifdef PORT3 |
assign wbs3_ack_o = !wbs3_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd3); |
assign wbs3_dat_o = rx_fifo_dat_o[35:4]; |
assign wbs3_ack_o = (!wbs3_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd3)) | wbs3_ack_re; |
`endif |
`ifdef PORT4 |
assign wbs4_ack_o = !wbs4_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd4); |
assign wbs4_dat_o = rx_fifo_dat_o[35:4]; |
assign wbs4_ack_o = (!wbs4_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd4)) | wbs4_ack_re; |
`endif |
`ifdef PORT5 |
assign wbs5_ack_o = !wbs5_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd5); |
assign wbs5_dat_o = rx_fifo_dat_o[35:4]; |
assign wbs5_ack_o = (!wbs5_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd5)) | wbs5_ack_re; |
`endif |
`ifdef PORT6 |
assign wbs6_ack_o = !wbs6_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd6); |
assign wbs6_dat_o = rx_fifo_dat_o[35:4]; |
assign wbs6_ack_o = (!wbs6_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd6)) | wbs6_ack_re; |
`endif |
`ifdef PORT7 |
assign wbs7_ack_o = !wbs7_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd7); |
assign wbs7_dat_o = rx_fifo_dat_o[35:4]; |
assign wbs7_ack_o = (!wbs7_flag & tx_fifo_we & (tx_fifo_a_sel_i == 3'd7)) | wbs7_ack_re; |
`endif |
|
endmodule // wb_sdram_ctrl_top |
/verilog/fifo.v
424,7 → 424,21
(b_fifo_sel_i==3'd6) ? {b_fifo_sel_i,radr6} : |
{b_fifo_sel_i,radr7} ; |
|
|
|
`ifdef ACTEL |
TwoPortRAM_256x36 dpram |
( |
.WD(a_dat_i), |
.RD(b_dat_o), |
.WEN(a_we_i), |
//.REN(b_re_i), |
.REN(1'b1), |
.WADDR(dpram_a_a), |
.RADDR(dpram_a_b), |
.WCLK(a_clk), |
.RCLK(b_clk) |
); |
`else |
vfifo_dual_port_ram_dc_dw |
/* # |
( |
444,5 → 458,5
.we_b(1'b0), |
.clk_b(b_clk) |
); |
|
`endif |
endmodule // sd_fifo |
/verilog/sdr_16.fzm
1,4 → 1,4
## File last modified by Fizzim: 14:45:36 on 2009-06-24 |
## File last modified by Fizzim: 17:12:20 on 2009-06-25 |
<version> |
8.04.05 |
</version> |
490,7 → 490,7
-1 |
</page> |
</fifo_sel[2:0]> |
<we> |
<done> |
<status> |
GLOBAL_FIXED |
</status> |
533,8 → 533,8
<page> |
-1 |
</page> |
</we> |
<done> |
</done> |
<tx_fifo_dat_o[35:0]> |
<status> |
GLOBAL_FIXED |
</status> |
577,8 → 577,8
<page> |
-1 |
</page> |
</done> |
<ba[1:0]> |
</tx_fifo_dat_o[35:0]> |
<burst_adr[3:0]> |
<status> |
GLOBAL_FIXED |
</status> |
621,25 → 621,27
<page> |
-1 |
</page> |
</ba[1:0]> |
<col[12:0]> |
</burst_adr[3:0]> |
</inputs> |
<outputs> |
<cs_n> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
|
regdp |
<status> |
GLOBAL_VAR |
</status> |
665,28 → 667,72
<page> |
-1 |
</page> |
</col[12:0]> |
<row[12:0]> |
</cs_n> |
<cmd[2:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
|
`CMD_NOP |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
regdp |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</cmd[2:0]> |
<a[14:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
15'd0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
regdp |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
709,10 → 755,8
<page> |
-1 |
</page> |
</row[12:0]> |
</inputs> |
<outputs> |
<cs_n> |
</a[14:0]> |
<ref_ack> |
<status> |
GLOBAL_FIXED |
</status> |
755,13 → 799,13
<page> |
-1 |
</page> |
</cs_n> |
<cmd[2:0]> |
</ref_ack> |
<adr_init> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
`CMD_NOP |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
773,7 → 817,7
</status> |
</vis> |
<type> |
regdp |
comb |
<status> |
GLOBAL_VAR |
</status> |
799,13 → 843,13
<page> |
-1 |
</page> |
</cmd[2:0]> |
<a[14:0]> |
</adr_init> |
<adr_inc> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
a |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
817,7 → 861,7
</status> |
</vis> |
<type> |
regdp |
comb |
<status> |
GLOBAL_VAR |
</status> |
843,8 → 887,8
<page> |
-1 |
</page> |
</a[14:0]> |
<ref_ack> |
</adr_inc> |
<fifo_re> |
<status> |
GLOBAL_FIXED |
</status> |
887,13 → 931,13
<page> |
-1 |
</page> |
</ref_ack> |
<adr_init> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
905,7 → 949,7
</status> |
</vis> |
<type> |
comb |
regdp |
<status> |
GLOBAL_VAR |
</status> |
931,13 → 975,13
<page> |
-1 |
</page> |
</adr_init> |
<adr_inc> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
949,7 → 993,7
</status> |
</vis> |
<type> |
comb |
regdp |
<status> |
GLOBAL_VAR |
</status> |
975,8 → 1019,8
<page> |
-1 |
</page> |
</adr_inc> |
<fifo_re> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
987,6 → 1031,50
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
regdp |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
993,7 → 1081,7
</status> |
</vis> |
<type> |
comb |
reg |
<status> |
GLOBAL_VAR |
</status> |
1019,7 → 1107,7
<page> |
-1 |
</page> |
</fifo_re> |
</read> |
</outputs> |
<state> |
<name> |
1159,7 → 1247,7
GLOBAL_FIXED |
</status> |
<value> |
a |
15'd0 |
<status> |
GLOBAL_VAR |
</status> |
1374,6 → 1462,182
-1 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
-1 |
</page> |
</read> |
</state> |
<trans> |
<name> |
1520,7 → 1784,7
46 |
</SCounter> |
<TCounter> |
69 |
72 |
</TCounter> |
<TableVis> |
true |
1697,7 → 1961,7
GLOBAL_FIXED |
</status> |
<value> |
a |
15'd0 |
<status> |
GLOBAL_VAR |
</status> |
1912,6 → 2176,182
2 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</read> |
</attributes> |
<x0> |
117 |
2120,9 → 2560,9
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
1'b1 |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
2291,6 → 2731,182
2 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</read> |
</attributes> |
<x0> |
426 |
2457,7 → 3073,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
2499,9 → 3115,9
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
1'b1 |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
2670,6 → 3286,182
2 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</read> |
</attributes> |
<x0> |
426 |
2820,10 → 3612,10
</status> |
</color> |
<x2Obj> |
1 |
2 |
</x2Obj> |
<y2Obj> |
13 |
9 |
</y2Obj> |
<page> |
2 |
2836,7 → 3628,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
2878,9 → 3670,9
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
1'b1 |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
2911,7 → 3703,7
0 |
</x2Obj> |
<y2Obj> |
0 |
15 |
</y2Obj> |
<page> |
2 |
3049,6 → 3841,182
2 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</read> |
</attributes> |
<x0> |
427 |
3428,6 → 4396,182
2 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</read> |
</attributes> |
<x0> |
428 |
3813,7 → 4957,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
4026,6 → 5170,182
2 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</read> |
</attributes> |
<x0> |
426 |
4192,7 → 5512,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
4405,6 → 5725,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
189 |
4571,7 → 6067,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
4784,6 → 6280,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
412 |
5745,6 → 7417,138
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
5751,6 → 7555,50
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
5783,7 → 7631,7
<page> |
3 |
</page> |
</fifo_re> |
</read> |
</attributes> |
<x0> |
189 |
5948,9 → 7796,9
GLOBAL_FIXED |
</status> |
<value> |
a |
`A_READ |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
6124,9 → 7972,9
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
1'b0 |
<status> |
LOCAL |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
6163,6 → 8011,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
198 |
6741,7 → 8765,7
GLOBAL_FIXED |
</status> |
<value> |
`A_READ |
{a[14:5],burst_adr,1'b0} |
<status> |
LOCAL |
</status> |
6873,9 → 8897,9
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
!done |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
6956,6 → 8980,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b00 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
327 |
7327,9 → 9527,9
GLOBAL_FIXED |
</status> |
<value> |
a |
{a[14:5],burst_adr,1'b0} |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
7360,7 → 9560,7
0 |
</x2Obj> |
<y2Obj> |
2 |
1 |
</y2Obj> |
<page> |
3 |
7503,6 → 9703,182
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
tx_fifo_dat_o[35:20] |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
!tx_fifo_dat_o[3:2] |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
7541,7 → 9917,7
<page> |
3 |
</page> |
</fifo_re> |
</read> |
</attributes> |
<x0> |
325 |
7708,7 → 10084,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
7838,9 → 10214,9
GLOBAL_FIXED |
</status> |
<value> |
!done |
1'b0 |
<status> |
LOCAL |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
7868,10 → 10244,10
</status> |
</color> |
<x2Obj> |
55 |
2 |
</x2Obj> |
<y2Obj> |
-56 |
33 |
</y2Obj> |
<page> |
3 |
7921,6 → 10297,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b00 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
477 |
8507,6 → 11059,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
776 |
9087,7 → 11815,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
9250,7 → 11978,7
102 |
</x2Obj> |
<y2Obj> |
69 |
106 |
</y2Obj> |
<page> |
3 |
9300,6 → 12028,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
tx_fifo_dat_o[19:4] |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
!tx_fifo_dat_o[1:0] |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
<status> |
LOCAL |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
481 |
10294,7 → 13198,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
10322,10 → 13226,10
</status> |
</color> |
<x2Obj> |
0 |
-3 |
</x2Obj> |
<y2Obj> |
0 |
4 |
</y2Obj> |
<page> |
3 |
10507,6 → 13411,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
167 |
10583,7 → 13663,7
ABS |
</status> |
<value> |
we |
tx_fifo_dat_o[5] |
<status> |
LOCAL |
</status> |
10613,10 → 13693,10
</status> |
</color> |
<x2Obj> |
-57 |
-69 |
</x2Obj> |
<y2Obj> |
-39 |
-35 |
</y2Obj> |
<page> |
3 |
11204,9 → 14284,9
ABS |
</status> |
<value> |
1 |
ref_req |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
11501,7 → 14581,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
11543,9 → 14623,9
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
1'b0 |
<status> |
LOCAL |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
11714,6 → 14794,182
2 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</read> |
</attributes> |
<x0> |
426 |
11880,7 → 15136,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
11922,9 → 15178,9
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
1'b0 |
<status> |
LOCAL |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
12093,6 → 15349,182
2 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
2 |
</page> |
</read> |
</attributes> |
<x0> |
428 |
13087,7 → 16519,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
13300,6 → 16732,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
338 |
13847,9 → 17455,9
GLOBAL_FIXED |
</status> |
<value> |
1'b1 |
1'b0 |
<status> |
LOCAL |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
13886,6 → 17494,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
51 |
14259,7 → 18043,7
<value> |
a |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
14345,9 → 18129,9
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
1'b1 |
<status> |
GLOBAL_VAR |
LOCAL |
</status> |
</value> |
<vis> |
14472,6 → 18256,182
3 |
</page> |
</fifo_re> |
<dq[15:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
16'h0000 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq[15:0]> |
<dqm[1:0]> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
2'b11 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dqm[1:0]> |
<dq_oe> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
0 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</dq_oe> |
<read> |
<status> |
GLOBAL_FIXED |
</status> |
<value> |
1'b0 |
<status> |
GLOBAL_VAR |
</status> |
</value> |
<vis> |
2 |
<status> |
GLOBAL_VAR |
</status> |
</vis> |
<type> |
output |
<status> |
GLOBAL_VAR |
</status> |
</type> |
<comment> |
|
<status> |
GLOBAL_VAR |
</status> |
</comment> |
<color> |
-16777216 |
<status> |
GLOBAL_VAR |
</status> |
</color> |
<x2Obj> |
0 |
</x2Obj> |
<y2Obj> |
0 |
</y2Obj> |
<page> |
3 |
</page> |
</read> |
</attributes> |
<x0> |
649 |
/verilog/Makefile
17,6 → 17,9
vpp versatile_counter.v > tmp1.v |
vppreproc --simple tmp1.v | cat copyright.v - > ctrl_counter.v |
|
fifo_fill_fsm: |
perl fizzim.pl -encoding onehot < fifo_fill.fzm > fifo_fill.v |
|
sdr_16: |
perl fizzim.pl -encoding onehot < sdr_16.fzm > tmp1.v |
vppreproc --simple tmp1.v > sdr_16.v |
27,6 → 30,6
vppreproc --simple tmp1.v | cat copyright.v - > ref_counter.v |
|
versatile_mem_ctrl: |
vppreproc --simple versatile_fifo_async_cmp.v versatile_fifo_dual_port_ram_dc_dw.v fifo_adr_counter.v ctrl_counter.v fifo.v inc_adr.v ref_counter.v sdr_16.v versatile_mem_ctrl_top.v | cat copyright.v - > versatile_mem_ctrl_ip.v |
vppreproc --simple versatile_fifo_async_cmp.v versatile_fifo_dual_port_ram_dc_dw.v fifo_adr_counter.v ctrl_counter.v fifo.v fifo_fill.v inc_adr.v ref_counter.v sdr_16.v delay.v versatile_mem_ctrl_top.v | cat copyright.v - > versatile_mem_ctrl_ip.v |
|
all: ctrl_counter dual_port_ram fifo_adr_counter sdr_16 versatile_mem_ctrl |
all: ctrl_counter dual_port_ram fifo_adr_counter fifo_fill_fsm sdr_16 versatile_mem_ctrl |