OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /versatile_mem_ctrl/trunk
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/rtl/verilog/sdr_16_defines.v
26,7 → 26,7
`define SDRAM16
`define BA tx_fifo_dat_o[28:27]
`define ROW tx_fifo_dat_o[26:14]
`define COL {4'b0000,tx_fifo_dat_o[14:10],burst_adr}
`define COL {4'b0000,tx_fifo_dat_o[13:10],burst_adr,1'b0}
`define WORD_SIZE 1
`define WB_ADR_HI 24
`define WB_ADR_LO 2
64,10 → 64,10
// [6:4] CAS Latency; 3'b010 - 2, 3'b011 - 3
// [3] BT, Burst Type; 1'b0 - sequential, 1'b1 - interleaved
// [2:0] Burst length; 3'b000 - 1, 3'b001 - 2, 3'b010 - 4, 3'b011 - 8, 3'b111 - full page
`define WB 1'b1
`define WB 1'b0
`define CL 2
`define BT 1'b0
`define BL 3'b000
`define BL 3'b001
 
// Adr to SDRAM {ba[1:0],a[12:0]}
`define A_LMR {2'b00,3'b000,`WB,2'b00,3'd`CL,`BT,`BL}
/rtl/verilog/versatile_mem_ctrl_top.v
109,10 → 109,10
output reg ras_pad_o,
output reg cas_pad_o,
output reg we_pad_o,
output reg [15:0] dq_pad_o,
output reg [15:0] dq_o,
output reg [1:0] dqm_pad_o,
input [15:0] dq_pad_i,
output reg dq_pad_oe,
input [15:0] dq_i,
output reg dq_oe,
output cke,
`endif
input wb_clk,
377,7 → 377,7
);
 
`ifdef SDR_16
reg dq_oe, dq_flag;
reg dq_flag;
wire [2:0] cmd;
 
ref_counter ref_counter0
413,12 → 413,12
 
always @ (posedge sdram_clk or posedge wb_rst)
if (wb_rst)
{dq_pad_o,dqm_pad_o,dq_oe,dq_flag} <= {16'h0000,2'b00,1'b0,1'b0};
{dq_o,dqm_pad_o,dq_oe,dq_flag} <= {16'h0000,2'b00,1'b0,1'b0};
else
if (cmd == `CMD_WRITE)
{dq_pad_o,dqm_pad_o,dq_oe,dq_flag} <= {tx_fifo_dat_o[35:20],!tx_fifo_dat_o[3:2],1'b1,1'b1};
{dq_o,dqm_pad_o,dq_oe,dq_flag} <= {tx_fifo_dat_o[35:20],!tx_fifo_dat_o[3:2],1'b1,1'b1};
else if (dq_flag)
{dq_pad_o,dqm_pad_o,dq_oe,dq_flag} <= {tx_fifo_dat_o[19: 4],!tx_fifo_dat_o[1:0],1'b1,1'b0};
{dq_o,dqm_pad_o,dq_oe,dq_flag} <= {tx_fifo_dat_o[19: 4],!tx_fifo_dat_o[1:0],1'b1,1'b0};
else
{dq_oe,dq_flag} <= {1'b0,1'b0};
 
428,7 → 428,7
else
{ba_pad_o, a_pad_o, cs_n_pad_o, ras_pad_o, cas_pad_o, we_pad_o} <= {a,cs_n,cmd};
assign cke = 1'b1;
assign cke_pad_o = 1'b1;
`endif // `ifdef SDR_16
/rtl/verilog/sdr_16.fzm
1,4 → 1,4
## File last modified by Fizzim: 10:46:28 on 2009-06-24
## File last modified by Fizzim: 14:45:36 on 2009-06-24
<version>
8.04.05
</version>
773,7 → 773,7
</status>
</vis>
<type>
comb
regdp
<status>
GLOBAL_VAR
</status>
805,7 → 805,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
817,7 → 817,7
</status>
</vis>
<type>
comb
regdp
<status>
GLOBAL_VAR
</status>
1159,7 → 1159,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
1517,10 → 1517,10
</tabs>
## START PREFERENCES
<SCounter>
43
46
</SCounter>
<TCounter>
65
69
</TCounter>
<TableVis>
true
1697,7 → 1697,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
2455,7 → 2455,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
2834,7 → 2834,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
3811,7 → 3811,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
4190,7 → 4190,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
4569,7 → 4569,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
5745,9 → 5745,9
GLOBAL_FIXED
</status>
<value>
1'b1
1'b0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
5948,7 → 5948,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
6124,9 → 6124,9
GLOBAL_FIXED
</status>
<value>
1'b0
1'b1
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
6165,16 → 6165,16
</fifo_re>
</attributes>
<x0>
207
198
</x0>
<y0>
468
429
</y0>
<x1>
307
298
</x1>
<y1>
568
529
</y1>
<reset>
false
6336,10 → 6336,10
374.0
</startPtY>
<endPtX>
257.0
248.0
</endPtX>
<endPtY>
468.0
429.0
</endPtY>
<startCtrlPtX>
239.0
6348,10 → 6348,10
395.0
</startCtrlPtY>
<endCtrlPtY>
257.0
248.0
</endCtrlPtY>
<endCtrlPtY>
446.0
407.0
</endCtrlPtY>
<startStateIndex>
9
6476,10 → 6476,10
</status>
</color>
<x2Obj>
14
-2
</x2Obj>
<y2Obj>
-7
-13
</y2Obj>
<page>
3
6534,37 → 6534,37
NOP4
</startState>
<endState>
READ
NOP8
</endState>
<startPtX>
282.0
294.0
</startPtX>
<startPtY>
561.0
461.0
</startPtY>
<endPtX>
330.0
344.0
</endPtX>
<endPtY>
597.0
493.0
</endPtY>
<startCtrlPtX>
302.0
296.0
</startCtrlPtX>
<startCtrlPtY>
587.0
460.0
</startCtrlPtY>
<endCtrlPtY>
304.0
319.0
</endCtrlPtY>
<endCtrlPtY>
595.0
496.0
</endCtrlPtY>
<startStateIndex>
6
34
</startStateIndex>
<endStateIndex>
20
15
</endStateIndex>
<page>
3
7327,9 → 7327,9
GLOBAL_FIXED
</status>
<value>
`A_WRITE
a
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
7706,7 → 7706,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
8088,10 → 8088,10
AWAIT_CMD
</endState>
<startPtX>
760.0
876.0
</startPtX>
<startPtY>
613.0
614.0
</startPtY>
<endPtX>
247.0
8124,10 → 8124,10
-16777216
</color>
<pageSX>
820.0
936.0
</PageSX>
<pageSY>
613.0
614.0
</pageSY>
<pageSCX>
0.0
8380,9 → 8380,9
GLOBAL_FIXED
</status>
<value>
!(&fifo_empty) & !ref_req
1'b0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
8509,16 → 8509,16
</fifo_re>
</attributes>
<x0>
660
776
</x0>
<y0>
563
564
</y0>
<x1>
760
876
</x1>
<y1>
663
664
</y1>
<reset>
false
8671,37 → 8671,37
NOP6
</startState>
<endState>
PRECHARGE
NOP10
</endState>
<startPtX>
577.0
576.0
</startPtX>
<startPtY>
614.0
622.0
</startPtY>
<endPtX>
660.0
649.0
</endPtX>
<endPtY>
613.0
659.0
</endPtY>
<startCtrlPtX>
594.0
593.0
</startCtrlPtX>
<startCtrlPtY>
614.0
620.0
</startCtrlPtY>
<endCtrlPtY>
642.0
636.0
</endCtrlPtY>
<endCtrlPtY>
613.0
652.0
</endCtrlPtY>
<startStateIndex>
0
1
</startStateIndex>
<endStateIndex>
18
19
</endStateIndex>
<page>
3
9085,7 → 9085,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
9878,37 → 9878,37
NOP7
</startState>
<endState>
PRECHARGE
NOP10
</endState>
<startPtX>
581.0
574.0
</startPtX>
<startPtY>
743.0
718.0
</startPtY>
<endPtX>
685.0
655.0
</endPtX>
<endPtY>
656.0
693.0
</endPtY>
<startCtrlPtX>
631.0
585.0
</startCtrlPtX>
<startCtrlPtY>
740.0
713.0
</startCtrlPtY>
<endCtrlPtY>
657.0
635.0
</endCtrlPtY>
<endCtrlPtY>
716.0
692.0
</endCtrlPtY>
<startStateIndex>
0
33
</startStateIndex>
<endStateIndex>
12
15
</endStateIndex>
<page>
3
10292,7 → 10292,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
10468,9 → 10468,9
GLOBAL_FIXED
</status>
<value>
!fifo_empty[fifo_sel]
1'b0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
10501,7 → 10501,7
0
</x2Obj>
<y2Obj>
0
-1
</y2Obj>
<page>
3
10613,10 → 10613,10
</status>
</color>
<x2Obj>
-63
-57
</x2Obj>
<y2Obj>
2
-39
</y2Obj>
<page>
3
10671,37 → 10671,37
ACT_ROW
</startState>
<endState>
NOP5
NOP9
</endState>
<startPtX>
239.0
230.0
</startPtX>
<startPtY>
374.0
373.0
</startPtY>
<endPtX>
184.0
92.0
</endPtX>
<endPtY>
699.0
536.0
</endPtY>
<startCtrlPtX>
165.0
152.0
</startCtrlPtX>
<startCtrlPtY>
486.0
397.0
</startCtrlPtY>
<endCtrlPtY>
148.0
84.0
</endCtrlPtY>
<endCtrlPtY>
601.0
445.0
</endCtrlPtY>
<startStateIndex>
9
10
</startStateIndex>
<endStateIndex>
23
26
</endStateIndex>
<page>
3
10820,10 → 10820,10
</status>
</color>
<x2Obj>
-5
7
</x2Obj>
<y2Obj>
55
81
</y2Obj>
<page>
3
10881,34 → 10881,34
WRITE
</endState>
<startPtX>
266.0
267.0
</startPtX>
<startPtY>
746.0
738.0
</startPtY>
<endPtX>
325.0
</endPtX>
<endPtY>
752.0
735.0
</endPtY>
<startCtrlPtX>
282.0
279.0
</startCtrlPtX>
<startCtrlPtY>
750.0
738.0
</startCtrlPtY>
<endCtrlPtY>
293.0
313.0
</endCtrlPtY>
<endCtrlPtY>
756.0
735.0
</endCtrlPtY>
<startStateIndex>
1
0
</startStateIndex>
<endStateIndex>
17
19
</endStateIndex>
<page>
3
10953,7 → 10953,7
ABS
</status>
<value>
trans44
trans57
<status>
LOCAL
</status>
10989,7 → 10989,7
0
</y2Obj>
<page>
3
2
</page>
</name>
<equation>
10997,9 → 10997,9
ABS
</status>
<value>
!(&fifo_empty)
1
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
11027,13 → 11027,13
</status>
</color>
<x2Obj>
8
14
</x2Obj>
<y2Obj>
-71
5
</y2Obj>
<page>
3
2
</page>
</equation>
<priority>
11041,9 → 11041,9
GLOBAL_FIXED
</status>
<value>
2
1000
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
11071,54 → 11071,54
</status>
</color>
<x2Obj>
2
0
</x2Obj>
<y2Obj>
-67
0
</y2Obj>
<page>
3
2
</page>
</priority>
</attributes>
<startState>
PRECHARGE
ARF1
</startState>
<endState>
ACT_ROW
NOP2
</endState>
<startPtX>
701.0
476.0
</startPtX>
<startPtY>
563.0
419.0
</startPtY>
<endPtX>
282.0
476.0
</endPtX>
<endPtY>
349.0
456.0
</endPtY>
<startCtrlPtX>
691.0
476.0
</startCtrlPtX>
<startCtrlPtY>
319.0
426.0
</startCtrlPtY>
<endCtrlPtY>
369.0
476.0
</endCtrlPtY>
<endCtrlPtY>
348.0
448.0
</endCtrlPtY>
<startStateIndex>
26
9
</startStateIndex>
<endStateIndex>
3
27
</endStateIndex>
<page>
3
2
</page>
<color>
-16777216
11160,7 → 11160,7
ABS
</status>
<value>
trans45
trans60
<status>
LOCAL
</status>
11196,7 → 11196,7
0
</y2Obj>
<page>
3
2
</page>
</name>
<equation>
11204,9 → 11204,9
ABS
</status>
<value>
ref_req
1
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
11234,13 → 11234,13
</status>
</color>
<x2Obj>
71
38
</x2Obj>
<y2Obj>
-50
4
</y2Obj>
<page>
3
2
</page>
</equation>
<priority>
11248,9 → 11248,9
GLOBAL_FIXED
</status>
<value>
1
1000
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
11278,54 → 11278,54
</status>
</color>
<x2Obj>
78
0
</x2Obj>
<y2Obj>
-45
0
</y2Obj>
<page>
3
2
</page>
</priority>
</attributes>
<startState>
PRECHARGE
NOP1
</startState>
<endState>
AREF
ARF1
</endState>
<startPtX>
718.0
476.0
</startPtX>
<startPtY>
563.0
284.0
</startPtY>
<endPtX>
462.0
476.0
</endPtX>
<endPtY>
192.0
319.0
</endPtY>
<startCtrlPtX>
727.0
476.0
</startCtrlPtX>
<startCtrlPtY>
278.0
293.0
</startCtrlPtY>
<endCtrlPtY>
460.0
475.0
</endCtrlPtY>
<endCtrlPtY>
327.0
301.0
</endCtrlPtY>
<startStateIndex>
28
9
</startStateIndex>
<endStateIndex>
9
27
</endStateIndex>
<page>
3
2
</page>
<color>
-16777216
11359,6 → 11359,764
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
NOP2
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
a
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
426
</x0>
<y0>
456
</y0>
<x1>
526
</x1>
<y1>
556
</y1>
<reset>
false
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
ABS
</status>
<value>
NOP3
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<cs_n>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
`CMD_NOP
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</cmd[2:0]>
<a[14:0]>
<status>
GLOBAL_FIXED
</status>
<value>
a
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b1
<status>
LOCAL
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</ref_ack>
<adr_init>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</fifo_re>
</attributes>
<x0>
428
</x0>
<y0>
731
</y0>
<x1>
528
</x1>
<y1>
831
</y1>
<reset>
false
</reset>
<page>
2
</page>
<color>
-16777216
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
11367,7 → 12125,7
ABS
</status>
<value>
trans57
trans61
<status>
LOCAL
</status>
11441,10 → 12199,10
</status>
</color>
<x2Obj>
14
0
</x2Obj>
<y2Obj>
5
0
</y2Obj>
<page>
2
11496,34 → 12254,34
</priority>
</attributes>
<startState>
ARF1
PRE
</startState>
<endState>
NOP2
NOP1
</endState>
<startPtX>
476.0
</startPtX>
<startPtY>
419.0
152.0
</startPtY>
<endPtX>
476.0
</endPtX>
<endPtY>
456.0
184.0
</endPtY>
<startCtrlPtX>
476.0
</startCtrlPtX>
<startCtrlPtY>
426.0
158.0
</startCtrlPtY>
<endCtrlPtY>
476.0
</endCtrlPtY>
<endCtrlPtY>
448.0
177.0
</endCtrlPtY>
<startStateIndex>
9
11574,7 → 12332,7
ABS
</status>
<value>
trans60
trans62
<status>
LOCAL
</status>
11618,9 → 12376,9
ABS
</status>
<value>
1
ref_req
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
11648,10 → 12406,10
</status>
</color>
<x2Obj>
38
32
</x2Obj>
<y2Obj>
4
2
</y2Obj>
<page>
2
11703,34 → 12461,34
</priority>
</attributes>
<startState>
NOP1
NOP2
</startState>
<endState>
ARF1
ARF2
</endState>
<startPtX>
476.0
</startPtX>
<startPtY>
284.0
556.0
</startPtY>
<endPtX>
476.0
477.0
</endPtX>
<endPtY>
319.0
591.0
</endPtY>
<startCtrlPtX>
476.0
</startCtrlPtX>
<startCtrlPtY>
293.0
561.0
</startCtrlPtY>
<endCtrlPtY>
475.0
478.0
</endCtrlPtY>
<endCtrlPtY>
301.0
576.0
</endCtrlPtY>
<startStateIndex>
9
11773,6 → 12531,420
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans63
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
17
</x2Obj>
<y2Obj>
2
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
ARF2
</startState>
<endState>
NOP3
</endState>
<startPtX>
477.0
</startPtX>
<startPtY>
691.0
</startPtY>
<endPtX>
478.0
</endPtX>
<endPtY>
731.0
</endPtY>
<startCtrlPtX>
477.0
</startCtrlPtX>
<startCtrlPtY>
698.0
</startCtrlPtY>
<endCtrlPtY>
478.0
</endCtrlPtY>
<endCtrlPtY>
717.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans64
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
ref_req
<status>
LOCAL
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
45
</x2Obj>
<y2Obj>
10
</y2Obj>
<page>
2
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
2
</page>
</priority>
</attributes>
<startState>
NOP3
</startState>
<endState>
LMR
</endState>
<startPtX>
478.0
</startPtX>
<startPtY>
831.0
</startPtY>
<endPtX>
478.0
</endPtX>
<endPtY>
885.0
</endPtY>
<startCtrlPtX>
478.0
</startCtrlPtX>
<startCtrlPtY>
842.0
</startCtrlPtY>
<endCtrlPtY>
478.0
</endCtrlPtY>
<endCtrlPtY>
873.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
11781,7 → 12953,7
ABS
</status>
<value>
NOP2
NOP8
<status>
LOCAL
</status>
11817,7 → 12989,7
0
</y2Obj>
<page>
2
3
</page>
</name>
<cs_n>
11861,7 → 13033,7
0
</y2Obj>
<page>
2
3
</page>
</cs_n>
<cmd[2:0]>
11905,7 → 13077,7
0
</y2Obj>
<page>
2
3
</page>
</cmd[2:0]>
<a[14:0]>
11913,7 → 13085,7
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
a
<status>
GLOBAL_VAR
</status>
11949,7 → 13121,7
0
</y2Obj>
<page>
2
3
</page>
</a[14:0]>
<ref_ack>
11957,9 → 13129,9
GLOBAL_FIXED
</status>
<value>
1'b1
1'b0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
11993,7 → 13165,7
0
</y2Obj>
<page>
2
3
</page>
</ref_ack>
<adr_init>
12037,7 → 13209,7
0
</y2Obj>
<page>
2
3
</page>
</adr_init>
<adr_inc>
12081,7 → 13253,7
0
</y2Obj>
<page>
2
3
</page>
</adr_inc>
<fifo_re>
12125,27 → 13297,27
0
</y2Obj>
<page>
2
3
</page>
</fifo_re>
</attributes>
<x0>
426
338
</x0>
<y0>
456
418
</y0>
<x1>
526
438
</x1>
<y1>
556
518
</y1>
<reset>
false
</reset>
<page>
2
3
</page>
<color>
-16777216
12152,6 → 13324,213
</color>
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
<status>
ABS
</status>
<value>
trans65
<status>
LOCAL
</status>
</value>
<vis>
0
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</name>
<equation>
<status>
ABS
</status>
<value>
1
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</equation>
<priority>
<status>
GLOBAL_FIXED
</status>
<value>
1000
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</priority>
</attributes>
<startState>
NOP8
</startState>
<endState>
READ
</endState>
<startPtX>
388.0
</startPtX>
<startPtY>
518.0
</startPtY>
<endPtX>
385.0
</endPtX>
<endPtY>
565.0
</endPtY>
<startCtrlPtX>
388.0
</startCtrlPtX>
<startCtrlPtY>
527.0
</startCtrlPtY>
<endCtrlPtY>
385.0
</endCtrlPtY>
<endCtrlPtY>
555.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
28
</endStateIndex>
<page>
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE OBJECT
<state>
<attributes>
12160,7 → 13539,7
ABS
</status>
<value>
NOP3
NOP9
<status>
LOCAL
</status>
12196,7 → 13575,7
0
</y2Obj>
<page>
2
3
</page>
</name>
<cs_n>
12240,7 → 13619,7
0
</y2Obj>
<page>
2
3
</page>
</cs_n>
<cmd[2:0]>
12284,7 → 13663,7
0
</y2Obj>
<page>
2
3
</page>
</cmd[2:0]>
<a[14:0]>
12292,9 → 13671,9
GLOBAL_FIXED
</status>
<value>
`A_DEFAULT
`A_WRITE
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
12328,7 → 13707,7
0
</y2Obj>
<page>
2
3
</page>
</a[14:0]>
<ref_ack>
12336,9 → 13715,9
GLOBAL_FIXED
</status>
<value>
1'b1
1'b0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
12372,7 → 13751,7
0
</y2Obj>
<page>
2
3
</page>
</ref_ack>
<adr_init>
12416,7 → 13795,7
0
</y2Obj>
<page>
2
3
</page>
</adr_init>
<adr_inc>
12460,7 → 13839,7
0
</y2Obj>
<page>
2
3
</page>
</adr_inc>
<fifo_re>
12468,9 → 13847,9
GLOBAL_FIXED
</status>
<value>
1'b0
1'b1
<status>
GLOBAL_VAR
LOCAL
</status>
</value>
<vis>
12504,27 → 13883,27
0
</y2Obj>
<page>
2
3
</page>
</fifo_re>
</attributes>
<x0>
428
51
</x0>
<y0>
731
536
</y0>
<x1>
528
151
</x1>
<y1>
831
636
</y1>
<reset>
false
</reset>
<page>
2
3
</page>
<color>
-16777216
12539,7 → 13918,7
ABS
</status>
<value>
trans61
trans67
<status>
LOCAL
</status>
12575,7 → 13954,7
0
</y2Obj>
<page>
2
3
</page>
</name>
<equation>
12619,7 → 13998,7
0
</y2Obj>
<page>
2
3
</page>
</equation>
<priority>
12663,48 → 14042,48
0
</y2Obj>
<page>
2
3
</page>
</priority>
</attributes>
<startState>
PRE
NOP9
</startState>
<endState>
NOP1
NOP5
</endState>
<startPtX>
476.0
133.0
</startPtX>
<startPtY>
152.0
624.0
</startPtY>
<endPtX>
476.0
184.0
</endPtX>
<endPtY>
184.0
699.0
</endPtY>
<startCtrlPtX>
476.0
147.0
</startCtrlPtX>
<startCtrlPtY>
158.0
654.0
</startCtrlPtY>
<endCtrlPtY>
476.0
163.0
</endCtrlPtY>
<endCtrlPtY>
177.0
671.0
</endCtrlPtY>
<startStateIndex>
9
5
</startStateIndex>
<endStateIndex>
27
23
</endStateIndex>
<page>
2
3
</page>
<color>
-16777216
12738,8 → 14117,8
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
## START STATE OBJECT
<state>
<attributes>
<name>
<status>
12746,13 → 14125,13
ABS
</status>
<value>
trans62
NOP10
<status>
LOCAL
</status>
</value>
<vis>
0
1
<status>
GLOBAL_VAR
</status>
12782,27 → 14161,27
0
</y2Obj>
<page>
2
3
</page>
</name>
<equation>
<cs_n>
<status>
ABS
GLOBAL_FIXED
</status>
<value>
ref_req
1'b0
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
1
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
output
<status>
GLOBAL_VAR
</status>
12820,21 → 14199,21
</status>
</color>
<x2Obj>
32
0
</x2Obj>
<y2Obj>
2
0
</y2Obj>
<page>
2
3
</page>
</equation>
<priority>
</cs_n>
<cmd[2:0]>
<status>
GLOBAL_FIXED
</status>
<value>
1000
`CMD_NOP
<status>
GLOBAL_VAR
</status>
12846,7 → 14225,7
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
12870,102 → 14249,71
0
</y2Obj>
<page>
2
3
</page>
</priority>
</attributes>
<startState>
NOP2
</startState>
<endState>
ARF2
</endState>
<startPtX>
476.0
</startPtX>
<startPtY>
556.0
</startPtY>
<endPtX>
477.0
</endPtX>
<endPtY>
591.0
</endPtY>
<startCtrlPtX>
476.0
</startCtrlPtX>
<startCtrlPtY>
561.0
</startCtrlPtY>
<endCtrlPtY>
478.0
</endCtrlPtY>
<endCtrlPtY>
576.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<page>
2
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
<name>
</cmd[2:0]>
<a[14:0]>
<status>
ABS
GLOBAL_FIXED
</status>
<value>
trans63
a
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</a[14:0]>
<ref_ack>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
output
<status>
GLOBAL_VAR
</status>
12989,27 → 14337,27
0
</y2Obj>
<page>
2
3
</page>
</name>
<equation>
</ref_ack>
<adr_init>
<status>
ABS
GLOBAL_FIXED
</status>
<value>
1
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
1
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
def_type
output
<status>
GLOBAL_VAR
</status>
13027,21 → 14375,21
</status>
</color>
<x2Obj>
17
0
</x2Obj>
<y2Obj>
2
0
</y2Obj>
<page>
2
3
</page>
</equation>
<priority>
</adr_init>
<adr_inc>
<status>
GLOBAL_FIXED
</status>
<value>
1000
1'b0
<status>
GLOBAL_VAR
</status>
13053,10 → 14401,54
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
<status>
GLOBAL_VAR
</status>
</comment>
<color>
-16777216
<status>
GLOBAL_VAR
</status>
</color>
<x2Obj>
0
</x2Obj>
<y2Obj>
0
</y2Obj>
<page>
3
</page>
</adr_inc>
<fifo_re>
<status>
GLOBAL_FIXED
</status>
<value>
1'b0
<status>
GLOBAL_VAR
</status>
</value>
<vis>
2
<status>
GLOBAL_VAR
</status>
</vis>
<type>
output
<status>
GLOBAL_VAR
</status>
</type>
<comment>
13077,81 → 14469,33
0
</y2Obj>
<page>
2
3
</page>
</priority>
</fifo_re>
</attributes>
<startState>
ARF2
</startState>
<endState>
NOP3
</endState>
<startPtX>
477.0
</startPtX>
<startPtY>
691.0
</startPtY>
<endPtX>
478.0
</endPtX>
<endPtY>
731.0
</endPtY>
<startCtrlPtX>
477.0
</startCtrlPtX>
<startCtrlPtY>
698.0
</startCtrlPtY>
<endCtrlPtY>
478.0
</endCtrlPtY>
<endCtrlPtY>
717.0
</endCtrlPtY>
<startStateIndex>
9
</startStateIndex>
<endStateIndex>
27
</endStateIndex>
<x0>
649
</x0>
<y0>
618
</y0>
<x1>
749
</x1>
<y1>
718
</y1>
<reset>
false
</reset>
<page>
2
3
</page>
<color>
-16777216
</color>
<pageSX>
0.0
</PageSX>
<pageSY>
0.0
</pageSY>
<pageSCX>
0.0
</PageSCX>
<pageSCY>
0.0
</pageSCY>
<pageEX>
0.0
</PageEX>
<pageEY>
0.0
</pageEY>
<pageECX>
0.0
</PageECX>
<pageECY>
0.0
</pageECY>
<stub>
false
</stub>
</transition>
## END STATE TRANSITION OBJECT
</state>
## END STATE OBJECT
## START STATE TRANSITION OBJECT
<transition>
<attributes>
13160,7 → 14504,7
ABS
</status>
<value>
trans64
trans68
<status>
LOCAL
</status>
13196,7 → 14540,7
0
</y2Obj>
<page>
2
3
</page>
</name>
<equation>
13204,9 → 14548,9
ABS
</status>
<value>
ref_req
1
<status>
LOCAL
GLOBAL_VAR
</status>
</value>
<vis>
13234,13 → 14578,13
</status>
</color>
<x2Obj>
45
0
</x2Obj>
<y2Obj>
10
0
</y2Obj>
<page>
2
3
</page>
</equation>
<priority>
13284,48 → 14628,48
0
</y2Obj>
<page>
2
3
</page>
</priority>
</attributes>
<startState>
NOP3
NOP10
</startState>
<endState>
LMR
PRECHARGE
</endState>
<startPtX>
478.0
745.0
</startPtX>
<startPtY>
831.0
650.0
</startPtY>
<endPtX>
478.0
782.0
</endPtX>
<endPtY>
885.0
639.0
</endPtY>
<startCtrlPtX>
478.0
750.0
</startCtrlPtX>
<startCtrlPtY>
842.0
648.0
</startCtrlPtY>
<endCtrlPtY>
478.0
773.0
</endCtrlPtY>
<endCtrlPtY>
873.0
638.0
</endCtrlPtY>
<startStateIndex>
9
34
</startStateIndex>
<endStateIndex>
27
15
</endStateIndex>
<page>
2
3
</page>
<color>
-16777216
/rtl/verilog/ref_counter_defines.v
26,7 → 26,7
 
// wrap around creates shorter cycle than maximum length
`define CNT_WRAP
`define CNT_WRAP_VALUE `CNT_LENGTH'h3ffff
`define CNT_WRAP_VALUE `CNT_LENGTH'h250
 
// clock enable
//`define CNT_CE
/rtl/verilog/Makefile
29,4 → 29,4
versatile_mem_ctrl:
vppreproc --simple versatile_fifo_async_cmp.v versatile_fifo_dual_port_ram_dc_dw.v fifo_adr_counter.v ctrl_counter.v fifo.v inc_adr.v ref_counter.v sdr_16.v versatile_mem_ctrl_top.v | cat copyright.v - > versatile_mem_ctrl_ip.v
 
all: ctrl_counter dual_port_ram fifo_adr_counter ref_counter sdr_16 versatile_mem_ctrl
all: ctrl_counter dual_port_ram fifo_adr_counter sdr_16 versatile_mem_ctrl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.