URL
https://opencores.org/ocsvn/w11/w11/trunk
Subversion Repositories w11
Compare Revisions
- This comparison shows the changes necessary to convert path
/w11/tags/w11a_V0.6/rtl/sys_gen/tst_rlink_cuff/nexys3
- from Rev 22 to Rev 24
- ↔ Reverse comparison
Rev 22 → Rev 24
/sys_tst_rlink_cuff_n3.vhd
0,0 → 1,388
-- $Id: sys_tst_rlink_cuff_n3.vhd 538 2013-10-06 17:21:25Z mueller $ |
-- |
-- Copyright 2013- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
-- |
-- This program is free software; you may redistribute and/or modify it under |
-- the terms of the GNU General Public License as published by the Free |
-- Software Foundation, either version 2, or at your option any later version. |
-- |
-- This program is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY |
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License |
-- for complete details. |
-- |
------------------------------------------------------------------------------ |
-- Module Name: sys_tst_rlink_cuff_n3 - syn |
-- Description: rlink tester design for nexys3 with fx2 interface |
-- |
-- Dependencies: vlib/xlib/s6_cmt_sfs |
-- vlib/genlib/clkdivce |
-- bplib/bpgen/bp_rs232_2l4l_iob |
-- bplib/bpgen/sn_humanio_rbus |
-- bplib/fx2lib/fx2_2fifoctl_as [sys_conf_fx2_type="as2"] |
-- bplib/fx2lib/fx2_2fifoctl_ic [sys_conf_fx2_type="ic2"] |
-- bplib/fx2lib/fx2_3fifoctl_ic [sys_conf_fx2_type="ic3"] |
-- tst_rlink_cuff |
-- bplib/nxcramlib/nx_cram_dummy |
-- |
-- Test bench: - |
-- |
-- Target Devices: generic |
-- Tool versions: xst 13.3, 14.6; ghdl 0.29 |
-- |
-- Synthesized (xst): |
-- Date Rev ise Target flop lutl lutm slic t peri ctl/MHz |
-- 2013-01-04 469 13.3 O76d xc6slx16-2 ??? ???? ??? ???? p ??.? ic2/ 50 |
-- |
-- Revision History: |
-- Date Rev Version Comment |
-- 2013-10-06 538 1.1 pll support, use clksys_vcodivide ect |
-- 2012-12-29 466 1.0 Initial version; derived from sys_tst_rlink_cuff_n2 |
-- and sys_tst_fx2loop_n3 |
------------------------------------------------------------------------------ |
-- Usage of Nexys 3 Switches, Buttons, LEDs: |
-- |
-- SWI(7:3) no function (only connected to sn_humanio_rbus) |
-- (2) 0 -> int/ext RS242 port for rlink |
-- 1 -> use USB interface for rlink |
-- (1) 1 enable XON |
-- (0) 0 -> main board RS232 port - implemented in bp_rs232_2l4l_iob |
-- 1 -> Pmod B/top RS232 port / |
-- |
-- LED(7) SER_MONI.abact |
-- (6:2) no function (only connected to sn_humanio_rbus) |
-- (0) timer 0 busy |
-- (1) timer 1 busy |
-- |
-- DSP: SER_MONI.clkdiv (from auto bauder) |
-- for SWI(2)='0' (serport) |
-- DP(3) not SER_MONI.txok (shows tx back preasure) |
-- (2) SER_MONI.txact (shows tx activity) |
-- (1) not SER_MONI.rxok (shows rx back preasure) |
-- (0) SER_MONI.rxact (shows rx activity) |
-- for SWI(2)='1' (fx2) |
-- DP(3) FX2_TX2BUSY (shows tx2 back preasure) |
-- (2) FX2_TX2ENA(stretched) (shows tx2 activity) |
-- (1) FX2_TXENA(streched) (shows tx activity) |
-- (0) FX2_RXVAL(stretched) (shows rx activity) |
-- |
|
library ieee; |
use ieee.std_logic_1164.all; |
use ieee.numeric_std.all; |
|
use work.slvtypes.all; |
use work.xlib.all; |
use work.genlib.all; |
use work.bpgenlib.all; |
use work.bpgenrbuslib.all; |
use work.rblib.all; |
use work.fx2lib.all; |
use work.nxcramlib.all; |
use work.sys_conf.all; |
|
-- ---------------------------------------------------------------------------- |
|
entity sys_tst_rlink_cuff_n3 is -- top level |
-- implements nexys3_fusp_cuff_aif |
port ( |
I_CLK100 : in slbit; -- 100 MHz clock |
I_RXD : in slbit; -- receive data (board view) |
O_TXD : out slbit; -- transmit data (board view) |
I_SWI : in slv8; -- n3 switches |
I_BTN : in slv5; -- n3 buttons |
O_LED : out slv8; -- n3 leds |
O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low) |
O_SEG_N : out slv8; -- 7 segment disp: segments (act.low) |
O_MEM_CE_N : out slbit; -- cram: chip enable (act.low) |
O_MEM_BE_N : out slv2; -- cram: byte enables (act.low) |
O_MEM_WE_N : out slbit; -- cram: write enable (act.low) |
O_MEM_OE_N : out slbit; -- cram: output enable (act.low) |
O_MEM_ADV_N : out slbit; -- cram: address valid (act.low) |
O_MEM_CLK : out slbit; -- cram: clock |
O_MEM_CRE : out slbit; -- cram: command register enable |
I_MEM_WAIT : in slbit; -- cram: mem wait |
O_MEM_ADDR : out slv23; -- cram: address lines |
IO_MEM_DATA : inout slv16; -- cram: data lines |
O_PPCM_CE_N : out slbit; -- ppcm: ... |
O_PPCM_RST_N : out slbit; -- ppcm: ... |
O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n |
I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n |
I_FUSP_RXD : in slbit; -- fusp: rs232 rx |
O_FUSP_TXD : out slbit; -- fusp: rs232 tx |
I_FX2_IFCLK : in slbit; -- fx2: interface clock |
O_FX2_FIFO : out slv2; -- fx2: fifo address |
I_FX2_FLAG : in slv4; -- fx2: fifo flags |
O_FX2_SLRD_N : out slbit; -- fx2: read enable (act.low) |
O_FX2_SLWR_N : out slbit; -- fx2: write enable (act.low) |
O_FX2_SLOE_N : out slbit; -- fx2: output enable (act.low) |
O_FX2_PKTEND_N : out slbit; -- fx2: packet end (act.low) |
IO_FX2_DATA : inout slv8 -- fx2: data lines |
); |
end sys_tst_rlink_cuff_n3; |
|
architecture syn of sys_tst_rlink_cuff_n3 is |
|
signal CLK : slbit := '0'; |
signal RESET : slbit := '0'; |
|
signal CE_USEC : slbit := '0'; |
signal CE_MSEC : slbit := '0'; |
|
signal RXSD : slbit := '0'; |
signal TXSD : slbit := '0'; |
signal CTS_N : slbit := '0'; |
signal RTS_N : slbit := '0'; |
|
signal SWI : slv8 := (others=>'0'); |
signal BTN : slv5 := (others=>'0'); |
signal LED : slv8 := (others=>'0'); |
signal DSP_DAT : slv16 := (others=>'0'); |
signal DSP_DP : slv4 := (others=>'0'); |
|
signal RB_MREQ : rb_mreq_type := rb_mreq_init; |
signal RB_SRES_HIO : rb_sres_type := rb_sres_init; |
|
signal FX2_RXDATA : slv8 := (others=>'0'); |
signal FX2_RXVAL : slbit := '0'; |
signal FX2_RXHOLD : slbit := '0'; |
signal FX2_RXAEMPTY : slbit := '0'; |
signal FX2_TXDATA : slv8 := (others=>'0'); |
signal FX2_TXENA : slbit := '0'; |
signal FX2_TXBUSY : slbit := '0'; |
signal FX2_TXAFULL : slbit := '0'; |
signal FX2_TX2DATA : slv8 := (others=>'0'); |
signal FX2_TX2ENA : slbit := '0'; |
signal FX2_TX2BUSY : slbit := '0'; |
signal FX2_TX2AFULL : slbit := '0'; |
signal FX2_MONI : fx2ctl_moni_type := fx2ctl_moni_init; |
|
constant rbaddr_hio : slv8 := "11000000"; -- 110000xx |
|
begin |
|
assert (sys_conf_clksys mod 1000000) = 0 |
report "assert sys_conf_clksys on MHz grid" |
severity failure; |
|
GEN_CLKSYS : s6_cmt_sfs |
generic map ( |
VCO_DIVIDE => sys_conf_clksys_vcodivide, |
VCO_MULTIPLY => sys_conf_clksys_vcomultiply, |
OUT_DIVIDE => sys_conf_clksys_outdivide, |
CLKIN_PERIOD => 10.0, |
CLKIN_JITTER => 0.01, |
STARTUP_WAIT => false, |
GEN_TYPE => sys_conf_clksys_gentype) |
port map ( |
CLKIN => I_CLK100, |
CLKFX => CLK, |
LOCKED => open |
); |
|
CLKDIV : clkdivce |
generic map ( |
CDUWIDTH => 7, -- good for up to 127 MHz ! |
USECDIV => sys_conf_clksys_mhz, |
MSECDIV => 1000) |
port map ( |
CLK => CLK, |
CE_USEC => CE_USEC, |
CE_MSEC => CE_MSEC |
); |
|
IOB_RS232 : bp_rs232_2l4l_iob |
port map ( |
CLK => CLK, |
RESET => '0', |
SEL => SWI(0), |
RXD => RXSD, |
TXD => TXSD, |
CTS_N => CTS_N, |
RTS_N => RTS_N, |
I_RXD0 => I_RXD, |
O_TXD0 => O_TXD, |
I_RXD1 => I_FUSP_RXD, |
O_TXD1 => O_FUSP_TXD, |
I_CTS1_N => I_FUSP_CTS_N, |
O_RTS1_N => O_FUSP_RTS_N |
); |
|
HIO : sn_humanio_rbus |
generic map ( |
BWIDTH => 5, |
DEBOUNCE => sys_conf_hio_debounce, |
RB_ADDR => rbaddr_hio) |
port map ( |
CLK => CLK, |
RESET => RESET, |
CE_MSEC => CE_MSEC, |
RB_MREQ => RB_MREQ, |
RB_SRES => RB_SRES_HIO, |
SWI => SWI, |
BTN => BTN, |
LED => LED, |
DSP_DAT => DSP_DAT, |
DSP_DP => DSP_DP, |
I_SWI => I_SWI, |
I_BTN => I_BTN, |
O_LED => O_LED, |
O_ANO_N => O_ANO_N, |
O_SEG_N => O_SEG_N |
); |
|
FX2_CNTL_AS : if sys_conf_fx2_type = "as2" generate |
CNTL : fx2_2fifoctl_as |
generic map ( |
RXFAWIDTH => 5, |
TXFAWIDTH => 5, |
CCWIDTH => sys_conf_fx2_ccwidth, |
RXAEMPTY_THRES => 1, |
TXAFULL_THRES => 1, |
PETOWIDTH => sys_conf_fx2_petowidth, |
RDPWLDELAY => sys_conf_fx2_rdpwldelay, |
RDPWHDELAY => sys_conf_fx2_rdpwhdelay, |
WRPWLDELAY => sys_conf_fx2_wrpwldelay, |
WRPWHDELAY => sys_conf_fx2_wrpwhdelay, |
FLAGDELAY => sys_conf_fx2_flagdelay) |
port map ( |
CLK => CLK, |
CE_USEC => CE_USEC, |
RESET => RESET, |
RXDATA => FX2_RXDATA, |
RXVAL => FX2_RXVAL, |
RXHOLD => FX2_RXHOLD, |
RXAEMPTY => FX2_RXAEMPTY, |
TXDATA => FX2_TXDATA, |
TXENA => FX2_TXENA, |
TXBUSY => FX2_TXBUSY, |
TXAFULL => FX2_TXAFULL, |
MONI => FX2_MONI, |
I_FX2_IFCLK => I_FX2_IFCLK, |
O_FX2_FIFO => O_FX2_FIFO, |
I_FX2_FLAG => I_FX2_FLAG, |
O_FX2_SLRD_N => O_FX2_SLRD_N, |
O_FX2_SLWR_N => O_FX2_SLWR_N, |
O_FX2_SLOE_N => O_FX2_SLOE_N, |
O_FX2_PKTEND_N => O_FX2_PKTEND_N, |
IO_FX2_DATA => IO_FX2_DATA |
); |
end generate FX2_CNTL_AS; |
|
FX2_CNTL_IC : if sys_conf_fx2_type = "ic2" generate |
CNTL : fx2_2fifoctl_ic |
generic map ( |
RXFAWIDTH => 5, |
TXFAWIDTH => 5, |
PETOWIDTH => sys_conf_fx2_petowidth, |
CCWIDTH => sys_conf_fx2_ccwidth, |
RXAEMPTY_THRES => 1, |
TXAFULL_THRES => 1) |
port map ( |
CLK => CLK, |
RESET => RESET, |
RXDATA => FX2_RXDATA, |
RXVAL => FX2_RXVAL, |
RXHOLD => FX2_RXHOLD, |
RXAEMPTY => FX2_RXAEMPTY, |
TXDATA => FX2_TXDATA, |
TXENA => FX2_TXENA, |
TXBUSY => FX2_TXBUSY, |
TXAFULL => FX2_TXAFULL, |
MONI => FX2_MONI, |
I_FX2_IFCLK => I_FX2_IFCLK, |
O_FX2_FIFO => O_FX2_FIFO, |
I_FX2_FLAG => I_FX2_FLAG, |
O_FX2_SLRD_N => O_FX2_SLRD_N, |
O_FX2_SLWR_N => O_FX2_SLWR_N, |
O_FX2_SLOE_N => O_FX2_SLOE_N, |
O_FX2_PKTEND_N => O_FX2_PKTEND_N, |
IO_FX2_DATA => IO_FX2_DATA |
); |
end generate FX2_CNTL_IC; |
|
FX2_CNTL_IC3 : if sys_conf_fx2_type = "ic3" generate |
CNTL : fx2_3fifoctl_ic |
generic map ( |
RXFAWIDTH => 5, |
TXFAWIDTH => 5, |
PETOWIDTH => sys_conf_fx2_petowidth, |
CCWIDTH => sys_conf_fx2_ccwidth, |
RXAEMPTY_THRES => 1, |
TXAFULL_THRES => 1, |
TX2AFULL_THRES => 1) |
port map ( |
CLK => CLK, |
RESET => RESET, |
RXDATA => FX2_RXDATA, |
RXVAL => FX2_RXVAL, |
RXHOLD => FX2_RXHOLD, |
RXAEMPTY => FX2_RXAEMPTY, |
TXDATA => FX2_TXDATA, |
TXENA => FX2_TXENA, |
TXBUSY => FX2_TXBUSY, |
TXAFULL => FX2_TXAFULL, |
TX2DATA => FX2_TX2DATA, |
TX2ENA => FX2_TX2ENA, |
TX2BUSY => FX2_TX2BUSY, |
TX2AFULL => FX2_TX2AFULL, |
MONI => FX2_MONI, |
I_FX2_IFCLK => I_FX2_IFCLK, |
O_FX2_FIFO => O_FX2_FIFO, |
I_FX2_FLAG => I_FX2_FLAG, |
O_FX2_SLRD_N => O_FX2_SLRD_N, |
O_FX2_SLWR_N => O_FX2_SLWR_N, |
O_FX2_SLOE_N => O_FX2_SLOE_N, |
O_FX2_PKTEND_N => O_FX2_PKTEND_N, |
IO_FX2_DATA => IO_FX2_DATA |
); |
end generate FX2_CNTL_IC3; |
|
TST : entity work.tst_rlink_cuff |
port map ( |
CLK => CLK, |
RESET => '0', |
CE_USEC => CE_USEC, |
CE_MSEC => CE_MSEC, |
RB_MREQ_TOP => RB_MREQ, |
RB_SRES_TOP => RB_SRES_HIO, |
SWI => SWI, |
BTN => BTN(3 downto 0), |
LED => LED, |
DSP_DAT => DSP_DAT, |
DSP_DP => DSP_DP, |
RXSD => RXSD, |
TXSD => TXSD, |
RTS_N => RTS_N, |
CTS_N => CTS_N, |
FX2_RXDATA => FX2_RXDATA, |
FX2_RXVAL => FX2_RXVAL, |
FX2_RXHOLD => FX2_RXHOLD, |
FX2_TXDATA => FX2_TXDATA, |
FX2_TXENA => FX2_TXENA, |
FX2_TXBUSY => FX2_TXBUSY, |
FX2_TX2DATA => FX2_TX2DATA, |
FX2_TX2ENA => FX2_TX2ENA, |
FX2_TX2BUSY => FX2_TX2BUSY, |
FX2_MONI => FX2_MONI |
); |
|
SRAM_PROT : nx_cram_dummy -- connect CRAM to protection dummy |
port map ( |
O_MEM_CE_N => O_MEM_CE_N, |
O_MEM_BE_N => O_MEM_BE_N, |
O_MEM_WE_N => O_MEM_WE_N, |
O_MEM_OE_N => O_MEM_OE_N, |
O_MEM_ADV_N => O_MEM_ADV_N, |
O_MEM_CLK => O_MEM_CLK, |
O_MEM_CRE => O_MEM_CRE, |
I_MEM_WAIT => I_MEM_WAIT, |
O_MEM_ADDR => O_MEM_ADDR, |
IO_MEM_DATA => IO_MEM_DATA |
); |
|
O_PPCM_CE_N <= '1'; -- keep parallel PCM memory disabled |
O_PPCM_RST_N <= '1'; -- |
|
end syn; |
|
/ic/sys_conf.vhd
0,0 → 1,66
-- $Id: sys_conf.vhd 538 2013-10-06 17:21:25Z mueller $ |
-- |
-- Copyright 2013- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
-- |
-- This program is free software; you may redistribute and/or modify it under |
-- the terms of the GNU General Public License as published by the Free |
-- Software Foundation, either version 2, or at your option any later version. |
-- |
-- This program is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY |
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License |
-- for complete details. |
-- |
------------------------------------------------------------------------------ |
-- Package Name: sys_conf |
-- Description: Definitions for sys_tst_rlink_cuff_ic_n3 (for synthesis) |
-- |
-- Dependencies: - |
-- Tool versions: xst 13.3, 14.6; ghdl 0.29 |
-- Revision History: |
-- Date Rev Version Comment |
-- 2013-10-06 538 1.1 pll support, use clksys_vcodivide ect |
-- 2013-01-04 469 1.0 Initial version |
------------------------------------------------------------------------------ |
|
library ieee; |
use ieee.std_logic_1164.all; |
|
use work.slvtypes.all; |
|
package sys_conf is |
|
constant sys_conf_clksys_vcodivide : positive := 1; |
constant sys_conf_clksys_vcomultiply : positive := 1; -- dcm 100 MHz |
constant sys_conf_clksys_outdivide : positive := 1; -- sys 100 MHz |
constant sys_conf_clksys_gentype : string := "DCM"; |
|
constant sys_conf_ser2rri_defbaud : integer := 115200; -- default 115k baud |
constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers |
|
constant sys_conf_fx2_type : string := "ic2"; |
|
-- dummy values defs for generic parameters of as controller |
constant sys_conf_fx2_rdpwldelay : positive := 1; |
constant sys_conf_fx2_rdpwhdelay : positive := 1; |
constant sys_conf_fx2_wrpwldelay : positive := 1; |
constant sys_conf_fx2_wrpwhdelay : positive := 1; |
constant sys_conf_fx2_flagdelay : positive := 1; |
|
-- pktend timer setting |
-- petowidth=10 -> 2^10 30 MHz clocks -> ~33 usec (normal operation) |
constant sys_conf_fx2_petowidth : positive := 10; |
|
constant sys_conf_fx2_ccwidth : positive := 5; |
|
-- derived constants |
|
constant sys_conf_clksys : integer := |
((100000000/sys_conf_clksys_vcodivide)*sys_conf_clksys_vcomultiply) / |
sys_conf_clksys_outdivide; |
constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000; |
|
constant sys_conf_ser2rri_cdinit : integer := |
(sys_conf_clksys/sys_conf_ser2rri_defbaud)-1; |
|
end package sys_conf; |
/ic/tb/tbw.dat
0,0 → 1,6
# $Id: tbw.dat 538 2013-10-06 17:21:25Z mueller $ |
# |
[tb_tst_rlink_cuff_ic_n3] |
rlink_cext_fifo_rx = <fifo> |
rlink_cext_fifo_tx = <fifo> |
rlink_cext_conf = <null> |
/ic/tb/sys_conf_sim.vhd
0,0 → 1,64
-- $Id: sys_conf_sim.vhd 538 2013-10-06 17:21:25Z mueller $ |
-- |
-- Copyright 2013- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
-- |
-- This program is free software; you may redistribute and/or modify it under |
-- the terms of the GNU General Public License as published by the Free |
-- Software Foundation, either version 2, or at your option any later version. |
-- |
-- This program is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY |
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License |
-- for complete details. |
-- |
------------------------------------------------------------------------------ |
-- Package Name: sys_conf |
-- Description: Definitions for sys_tst_rlink_cuff_ic_n3 (for simulation) |
-- |
-- Dependencies: - |
-- Tool versions: xst 13.3, 14.6; ghdl 0.29 |
-- Revision History: |
-- Date Rev Version Comment |
-- 2013-10-06 538 1.1 pll support, use clksys_vcodivide ect |
-- 2013-04-27 512 1.0 Initial version |
------------------------------------------------------------------------------ |
|
library ieee; |
use ieee.std_logic_1164.all; |
|
use work.slvtypes.all; |
|
package sys_conf is |
|
constant sys_conf_clksys_vcodivide : positive := 1; |
constant sys_conf_clksys_vcomultiply : positive := 1; -- dcm 100 MHz |
constant sys_conf_clksys_outdivide : positive := 1; -- sys 100 MHz |
constant sys_conf_clksys_gentype : string := "DCM"; |
|
constant sys_conf_ser2rri_cdinit : integer := 1-1; -- 1 cycle/bit in sim |
|
constant sys_conf_hio_debounce : boolean := false; -- no debouncers |
|
constant sys_conf_fx2_type : string := "ic2"; |
|
-- dummy values defs for generic parameters of as controller |
constant sys_conf_fx2_rdpwldelay : positive := 1; |
constant sys_conf_fx2_rdpwhdelay : positive := 1; |
constant sys_conf_fx2_wrpwldelay : positive := 1; |
constant sys_conf_fx2_wrpwhdelay : positive := 1; |
constant sys_conf_fx2_flagdelay : positive := 1; |
|
-- pktend timer setting |
-- petowidth=10 -> 2^10 30 MHz clocks -> ~33 usec (normal operation) |
constant sys_conf_fx2_petowidth : positive := 10; |
|
constant sys_conf_clksys : integer := |
((100000000/sys_conf_clksys_vcodivide)*sys_conf_clksys_vcomultiply) / |
sys_conf_clksys_outdivide; |
constant sys_conf_fx2_ccwidth : positive := 5; |
|
-- derived constants |
|
constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000; |
|
end package sys_conf; |
/ic/tb/sys_tst_rlink_cuff_ic_n3.ucf_cpp
0,0 → 1,64
link ../sys_tst_rlink_cuff_ic_n3.ucf_cpp |
ic/tb/sys_tst_rlink_cuff_ic_n3.ucf_cpp
Property changes :
Added: svn:special
## -0,0 +1 ##
+*
\ No newline at end of property
Index: ic/tb/tb_tst_rlink_cuff_ic_n3_ssim.vbom
===================================================================
--- ic/tb/tb_tst_rlink_cuff_ic_n3_ssim.vbom (nonexistent)
+++ ic/tb/tb_tst_rlink_cuff_ic_n3_ssim.vbom (revision 24)
@@ -0,0 +1,6 @@
+# configure for _*sim case
+# Note: this tb uses sys_tst_rlink_n3.vbom in local directory
+# (not in .. as usual) to allow a tb specific configure !!!
+nexys3_fusp_cuff_aif = sys_tst_rlink_cuff_ic_n3_ssim.vhd
+tb_tst_rlink_cuff_ic_n3.vbom
+@top:tb_tst_rlink_cuff_ic_n3
Index: ic/tb/tb_tst_rlink_cuff_ic_n3.vhd
===================================================================
--- ic/tb/tb_tst_rlink_cuff_ic_n3.vhd (nonexistent)
+++ ic/tb/tb_tst_rlink_cuff_ic_n3.vhd (revision 24)
@@ -0,0 +1,40 @@
+-- $Id: tb_tst_rlink_cuff_ic_n3.vhd 512 2013-04-28 07:44:02Z mueller $
+--
+-- Copyright 2013- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Module Name: tb_tst_rlink_cuff_ic_n3
+-- Description: Configuration for tb_tst_rlink_cuff_ic_n3 for
+-- tb_nexys3_fusp_cuff
+--
+-- Dependencies: sys_tst_rlink_cuff_n3 (fx2_type = 'ic2')
+--
+-- To test: sys_tst_rlink_cuff_n3 (fx2_type = 'ic2')
+--
+-- Verified:
+-- Date Rev Code ghdl ise Target Comment
+-- 2013-01-xx xxx - 0.29 13.3 O76d xc6slx16-2 u:???
+--
+-- Revision History:
+-- Date Rev Version Comment
+-- 2013-04-27 512 1.0 Initial version
+------------------------------------------------------------------------------
+
+configuration tb_tst_rlink_cuff_ic_n3 of tb_nexys3_fusp_cuff is
+
+ for sim
+ for all : nexys3_fusp_cuff_aif
+ use entity work.sys_tst_rlink_cuff_n3;
+ end for;
+ end for;
+
+end tb_tst_rlink_cuff_ic_n3;
Index: ic/tb/Makefile
===================================================================
--- ic/tb/Makefile (nonexistent)
+++ ic/tb/Makefile (revision 24)
@@ -0,0 +1,32 @@
+# $Id: Makefile 512 2013-04-28 07:44:02Z mueller $
+#
+# Revision History:
+# Date Rev Version Comment
+# 2013-04-27 512 1.0 Initial version
+#
+EXE_all = tb_tst_rlink_cuff_ic_n3
+#
+include $(RETROBASE)/rtl/make/xflow_default_nexys3.mk
+#
+.PHONY : all all_ssim all_tsim clean
+#
+all : $(EXE_all)
+all_ssim : $(EXE_all:=_ssim)
+all_tsim : $(EXE_all:=_tsim)
+#
+clean : ise_clean ghdl_clean
+ rm -f sys_tst_rlink_cuff_ic_n3.ucf
+#
+#-----
+#
+include $(RETROBASE)/rtl/make/generic_ghdl.mk
+include $(RETROBASE)/rtl/make/generic_xflow.mk
+#
+VBOM_all = $(wildcard *.vbom)
+#
+ifndef DONTINCDEP
+include $(VBOM_all:.vbom=.dep_xst)
+include $(VBOM_all:.vbom=.dep_ghdl)
+include $(wildcard *.o.dep_ghdl)
+endif
+#
Index: ic/tb/.cvsignore
===================================================================
--- ic/tb/.cvsignore (nonexistent)
+++ ic/tb/.cvsignore (revision 24)
@@ -0,0 +1,6 @@
+tb_tst_rlink_cuff_ic_n3
+tb_tst_rlink_cuff_ic_n3_[sft]sim
+rlink_cext_fifo_rx
+rlink_cext_fifo_tx
+rlink_cext_conf
+*.dep_ucf_cpp
Index: ic/tb/tb_tst_rlink_cuff_ic_n3.vbom
===================================================================
--- ic/tb/tb_tst_rlink_cuff_ic_n3.vbom (nonexistent)
+++ ic/tb/tb_tst_rlink_cuff_ic_n3.vbom (revision 24)
@@ -0,0 +1,7 @@
+# configure tb_nexsy3_fusp_cuff with sys_tst_rlink_cuff_n3 target;
+# use vhdl configure file (tb_tst_rlink_cuff_ic_n3.vhd) to allow
+# that all configurations will co-exist in work library
+${nexys3_fusp_cuff_aif := ../sys_tst_rlink_cuff_ic_n3.vbom}
+sys_conf = sys_conf_sim.vhd
+../../../../../bplib/nexys3/tb/tb_nexys3_fusp_cuff.vbom
+tb_tst_rlink_cuff_ic_n3.vhd
Index: ic/tb
===================================================================
--- ic/tb (nonexistent)
+++ ic/tb (revision 24)
ic/tb
Property changes :
Added: svn:ignore
## -0,0 +1,38 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log
+tb_tst_rlink_cuff_ic_n3
+tb_tst_rlink_cuff_ic_n3_[sft]sim
+rlink_cext_fifo_rx
+rlink_cext_fifo_tx
+rlink_cext_conf
+*.dep_ucf_cpp
Index: ic/Makefile
===================================================================
--- ic/Makefile (nonexistent)
+++ ic/Makefile (revision 24)
@@ -0,0 +1,30 @@
+# $Id: Makefile 477 2013-01-27 14:07:10Z mueller $
+#
+# Revision History:
+# Date Rev Version Comment
+# 2013-01-04 469 1.0 Initial version
+#
+#
+VBOM_all = $(wildcard *.vbom)
+BIT_all = $(VBOM_all:.vbom=.bit)
+#
+include $(RETROBASE)/rtl/make/xflow_default_nexys3.mk
+FX2_FILE = nexys3_jtag_2fifo_ic.ihx
+#
+.PHONY : all clean
+#
+all : $(BIT_all)
+#
+clean : ise_clean
+ rm -f $(VBOM_all:.vbom=.ucf)
+#
+#----
+#
+include $(RETROBASE)/rtl/make/generic_xflow.mk
+include $(RETROBASE)/rtl/make/generic_ghdl.mk
+#
+ifndef DONTINCDEP
+include $(VBOM_all:.vbom=.dep_xst)
+include $(VBOM_all:.vbom=.dep_ghdl)
+endif
+#
Index: ic/sys_tst_rlink_cuff_ic_n3.mfset
===================================================================
--- ic/sys_tst_rlink_cuff_ic_n3.mfset (nonexistent)
+++ ic/sys_tst_rlink_cuff_ic_n3.mfset (revision 24)
@@ -0,0 +1,100 @@
+# $Id: sys_tst_rlink_cuff_ic_n3.mfset 472 2013-01-06 14:39:10Z mueller $
+#
+# ----------------------------------------------------------------------------
+[xst]
+Case statement is complete. others clause is never selected
+Using initial value '0' for reset since it is never assigned
+Using initial value '0' for fx2_tx2ena_l since it is never assigned
+
+Net does not have a driver.
+
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected or connected
+Output port of the instance is unconnected or connected
+Output port of the instance is unconnected
+
+Signal is used but never assigned
+
+Signal 'FX2_TX2BUSY', unconnected in block 'sys_tst_rlink_cuff_n3'
+
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+ode of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+
+Input is never used
+Input > is never used
+Input is never used
+Input > is never used
+Input > is never used
+Input > is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+
+FF/Latch has a constant value of 0
+FF/Latch has a constant value
+FF/Latch has a constant value
+
+of type RAMB16_S18 has been replaced by RAMB16BWER
+of type RAMB16_S36 has been replaced by RAMB16BWER
+of type RAMB16_S36_S36 has been replaced by RAMB16BWER
+
+FF/Latch has a constant value of 0
+FF/Latch has a constant value
+
+The FF/Latch .* is equivalent
+The FF/Latch .* is equivalent
+The FF/Latch .* is the opposite
+
+#
+# ----------------------------------------------------------------------------
+[tra]
+
+#
+# ----------------------------------------------------------------------------
+[map]
+INFO:.*
+
+#
+# ----------------------------------------------------------------------------
+[par]
+The signal I_MEM_WAIT_IBUF has no load
+The signal I_FX2_FLAG<3>_IBUF has no load
+There are 2 loadless signals in this design
+
+#
+# ----------------------------------------------------------------------------
+[bgn]
Index: ic/sys_tst_rlink_cuff_ic_n3.ucf_cpp
===================================================================
--- ic/sys_tst_rlink_cuff_ic_n3.ucf_cpp (nonexistent)
+++ ic/sys_tst_rlink_cuff_ic_n3.ucf_cpp (revision 24)
@@ -0,0 +1,24 @@
+## $Id: sys_tst_rlink_cuff_ic_n3.ucf_cpp 469 2013-01-05 12:29:44Z mueller $
+##
+## Revision History:
+## Date Rev Version Comment
+## 2013-01-04 469 1.0 Initial version
+##
+
+NET "I_CLK100" TNM_NET = "I_CLK100";
+TIMESPEC "TS_I_CLK100" = PERIOD "I_CLK100" 10.0 ns HIGH 50 %;
+OFFSET = IN 10 ns BEFORE "I_CLK100";
+OFFSET = OUT 20 ns AFTER "I_CLK100";
+
+## std board
+##
+#include "bplib/nexys3/nexys3_pins.ucf"
+##
+## Pmod B0 - RS232
+##
+#include "bplib/nexys3/nexys3_pins_pmb0_rs232.ucf"
+##
+## FX2 interface
+##
+#include "bplib/nexys3/nexys3_pins_fx2.ucf"
+#include "bplib/nexys3/nexys3_time_fx2_ic.ucf"
Index: ic/sys_tst_rlink_cuff_ic_n3.vbom
===================================================================
--- ic/sys_tst_rlink_cuff_ic_n3.vbom (nonexistent)
+++ ic/sys_tst_rlink_cuff_ic_n3.vbom (revision 24)
@@ -0,0 +1,8 @@
+# conf
+sys_conf = sys_conf.vhd
+# libs
+# components
+# design
+../sys_tst_rlink_cuff_n3.vbom
+@ucf_cpp: sys_tst_rlink_cuff_ic_n3.ucf
+@top: sys_tst_rlink_cuff_n3
Index: ic/.cvsignore
===================================================================
--- ic/.cvsignore (nonexistent)
+++ ic/.cvsignore (revision 24)
@@ -0,0 +1,4 @@
+_impactbatch.log
+sys_tst_rlink_cuff_ic_n3.ucf
+*.dep_ucf_cpp
+*.svf
Index: ic
===================================================================
--- ic (nonexistent)
+++ ic (revision 24)
ic
Property changes :
Added: svn:ignore
## -0,0 +1,36 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log
+_impactbatch.log
+sys_tst_rlink_cuff_ic_n3.ucf
+*.dep_ucf_cpp
+*.svf
Index: sys_tst_rlink_cuff_n3.vbom
===================================================================
--- sys_tst_rlink_cuff_n3.vbom (nonexistent)
+++ sys_tst_rlink_cuff_n3.vbom (revision 24)
@@ -0,0 +1,31 @@
+# this is the vbom for the 'generic' top level entity
+# to be referenced in the vbom's of the specific systems
+# ./as/sys_tst_rlink_cuff_as_n3
+# ./ic/sys_tst_rlink_cuff_ic_n3
+# ./ic3/sys_tst_rlink_cuff_ic3_n3
+#
+# libs
+../../../vlib/slvtypes.vhd
+../../../vlib/xlib/xlib.vhd
+../../../vlib/genlib/genlib.vhd
+../../../bplib/bpgen/bpgenlib.vbom
+../../../bplib/bpgen/bpgenrbuslib.vbom
+../../../vlib/rbus/rblib.vhd
+../../../bplib/fx2lib/fx2lib.vhd
+../../../bplib/nxcramlib/nxcramlib.vhd
+${sys_conf}
+# components
+[xst,isim]../../../vlib/xlib/s6_cmt_sfs_unisim.vbom
+[ghdl]../../../vlib/xlib/s6_cmt_sfs_gsim.vbom
+../../../vlib/genlib/clkdivce.vbom
+../../../bplib/bpgen/bp_rs232_2l4l_iob.vbom
+../../../bplib/bpgen/sn_humanio_rbus.vbom
+../../../bplib/fx2lib/fx2_2fifoctl_as.vbom
+../../../bplib/fx2lib/fx2_2fifoctl_ic.vbom
+../../../bplib/fx2lib/fx2_3fifoctl_ic.vbom
+../tst_rlink_cuff.vbom
+../../../bplib/nxcramlib/nx_cram_dummy.vbom
+# design
+sys_tst_rlink_cuff_n3.vhd
+## no @ucf_cpp
+
Index: .
===================================================================
--- . (nonexistent)
+++ . (revision 24)
.
Property changes :
Added: svn:ignore
## -0,0 +1,32 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log