OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /wb4pb/trunk/asm
    from Rev 21 to Rev 22
    Reverse comparison

Rev 21 → Rev 22

/pbwbuart.psm
171,12 → 171,10
; checking data present flag
TEST wb_data , UART_SR_RX_DP_FLAG
; if flag is not set, returning immediately
JUMP NZ , uart_clr_buff_l0
RETURN
RETURN Z
; else reading out next byte and checking flag again
uart_clr_buff_l0:
CALL uart_rd_byte
JUMP uart_clr_buff
CALL uart_rd_byte
JUMP uart_clr_buff
 
; register and flag addressing
CONSTANT UART_RXTX_ADDR , 00 ; receive/transmit data pipe
/PBWBUART.VHD
1,7 → 1,7
--
-- Definition of a single port ROM for KCPSM3 program defined by pbwbuart.psm
--
-- Generated by KCPSM3 Assembler 07Feb2010-11:49:50.
-- Generated by KCPSM3 Assembler 20Feb2010-13:14:06.
--
-- Standard IEEE libraries
--
105,9 → 105,9
--
attribute INIT_00 of ram_1024_x_18 : label is "002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000";
attribute INIT_01 of ram_1024_x_18 : label is "002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20";
attribute INIT_02 of ram_1024_x_18 : label is "003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A";
attribute INIT_03 of ram_1024_x_18 : label is "0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000";
attribute INIT_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000008000A00050422E015EF0A0005EF0";
attribute INIT_02 of ram_1024_x_18 : label is "003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A";
attribute INIT_03 of ram_1024_x_18 : label is "5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000";
attribute INIT_04 of ram_1024_x_18 : label is "00000000000000000000000000000000000000008000A00050412E015EF0A000";
attribute INIT_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
166,8 → 166,8
attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INIT_3F of ram_1024_x_18 : label is "4046000000000000000000000000000000000000000000000000000000000000";
attribute INITP_00 of ram_1024_x_18 : label is "00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF";
attribute INIT_3F of ram_1024_x_18 : label is "4045000000000000000000000000000000000000000000000000000000000000";
attribute INITP_00 of ram_1024_x_18 : label is "00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF";
attribute INITP_01 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_02 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000";
184,9 → 184,9
--INIT values repeated to define contents for functional simulation
generic map ( INIT_00 => X"002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000",
INIT_01 => X"002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20",
INIT_02 => X"003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A",
INIT_03 => X"0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000",
INIT_04 => X"0000000000000000000000000000000000008000A00050422E015EF0A0005EF0",
INIT_02 => X"003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A",
INIT_03 => X"5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000",
INIT_04 => X"00000000000000000000000000000000000000008000A00050412E015EF0A000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
245,8 → 245,8
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"4046000000000000000000000000000000000000000000000000000000000000",
INITP_00 => X"00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF",
INIT_3F => X"4045000000000000000000000000000000000000000000000000000000000000",
INITP_00 => X"00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
/PBWBUART.V
15,7 → 15,7
//Command: kcpsm3 pbwbuart.psm
//Device: Spartan-3, Spartan-3E, Virtex-II, and Virtex-II Pro FPGAs
//Design Name: pbwbuart
//Generated 07Feb2010-11:49:50.
//Generated 20Feb2010-13:14:06.
//Purpose:
// pbwbuart verilog program definition.
//
40,9 → 40,9
/*synthesis
init_00 = "002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000"
init_01 = "002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20"
init_02 = "003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A"
init_03 = "0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000"
init_04 = "0000000000000000000000000000000000008000A00050422E015EF0A0005EF0"
init_02 = "003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A"
init_03 = "5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000"
init_04 = "00000000000000000000000000000000000000008000A00050412E015EF0A000"
init_05 = "0000000000000000000000000000000000000000000000000000000000000000"
init_06 = "0000000000000000000000000000000000000000000000000000000000000000"
init_07 = "0000000000000000000000000000000000000000000000000000000000000000"
101,8 → 101,8
init_3C = "0000000000000000000000000000000000000000000000000000000000000000"
init_3D = "0000000000000000000000000000000000000000000000000000000000000000"
init_3E = "0000000000000000000000000000000000000000000000000000000000000000"
init_3F = "4046000000000000000000000000000000000000000000000000000000000000"
initp_00 = "00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF"
init_3F = "4045000000000000000000000000000000000000000000000000000000000000"
initp_00 = "00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF"
initp_01 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_02 = "0000000000000000000000000000000000000000000000000000000000000000"
initp_03 = "0000000000000000000000000000000000000000000000000000000000000000"
114,9 → 114,9
// Attributes for Simulation
defparam ram_1024_x_18.INIT_00 = 256'h002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000;
defparam ram_1024_x_18.INIT_01 = 256'h002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20;
defparam ram_1024_x_18.INIT_02 = 256'h003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A;
defparam ram_1024_x_18.INIT_03 = 256'h0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000;
defparam ram_1024_x_18.INIT_04 = 256'h0000000000000000000000000000000000008000A00050422E015EF0A0005EF0;
defparam ram_1024_x_18.INIT_02 = 256'h003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A;
defparam ram_1024_x_18.INIT_03 = 256'h5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000;
defparam ram_1024_x_18.INIT_04 = 256'h00000000000000000000000000000000000000008000A00050412E015EF0A000;
defparam ram_1024_x_18.INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
175,8 → 175,8
defparam ram_1024_x_18.INIT_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INIT_3F = 256'h4046000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_00 = 256'h00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF;
defparam ram_1024_x_18.INIT_3F = 256'h4045000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_00 = 256'h00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF;
defparam ram_1024_x_18.INITP_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
defparam ram_1024_x_18.INITP_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
188,9 → 188,9
// Attributes for XST (Synplicity attributes are in-line)
// synthesis attribute INIT_00 of ram_1024_x_18 is "002D0D6F002D0D6C002D0D6C002D0D65002D0D48002D0D0A002D0D0D0025C000"
// synthesis attribute INIT_01 of ram_1024_x_18 is "002D0D0D002D0D21002D0D64002D0D6C002D0D72002D0D6F002D0D57002D0D20"
// synthesis attribute INIT_02 of ram_1024_x_18 is "003C1ED00F00A0000035003C0E000F03003C0E1A0F024022002D0031002D0D0A"
// synthesis attribute INIT_03 of ram_1024_x_18 is "0042A0000042DEF040350031A000543A2E04003F0F01A0001DE0003F0F00A000"
// synthesis attribute INIT_04 of ram_1024_x_18 is "0000000000000000000000000000000000008000A00050422E015EF0A0005EF0"
// synthesis attribute INIT_02 of ram_1024_x_18 is "003B1ED00F00A0000035003B0E000F03003B0E1A0F024022002D0031002D0D0A"
// synthesis attribute INIT_03 of ram_1024_x_18 is "5EF00041A0000041DEF040350031B0002E04003E0F01A0001DE0003E0F00A000"
// synthesis attribute INIT_04 of ram_1024_x_18 is "00000000000000000000000000000000000000008000A00050412E015EF0A000"
// synthesis attribute INIT_05 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_06 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_07 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
249,8 → 249,8
// synthesis attribute INIT_3C of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3D of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3E of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INIT_3F of ram_1024_x_18 is "4046000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_00 of ram_1024_x_18 is "00000000000000000000000000003B48EEFB7232C2F0C3FCCCCCCCCCCCCCCCCF"
// synthesis attribute INIT_3F of ram_1024_x_18 is "4045000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_00 of ram_1024_x_18 is "00000000000000000000000000000ED23BBE7232C2F0C3FCCCCCCCCCCCCCCCCF"
// synthesis attribute INITP_01 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_02 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"
// synthesis attribute INITP_03 of ram_1024_x_18 is "0000000000000000000000000000000000000000000000000000000000000000"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.