OpenCores
URL https://opencores.org/ocsvn/wf3d/wf3d/trunk

Subversion Repositories wf3d

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /wf3d/trunk/implement/rtl/de0
    from Rev 2 to Rev 4
    Reverse comparison

Rev 2 → Rev 4

/d3d_top.v
9,7 → 9,7
// DE0 RTL top module
//
// Author:
// Kenji Ishimaru (kenji.ishimaru@prtissimo.com)
// Kenji Ishimaru (info.wf3d@gmail.com)
//
//======================================================================
//
/fm_vga_wrapper.v
9,7 → 9,7
// AVALON VGA Master
//
// Author:
// Kenji Ishimaru (kenji.ishimaru@prtissimo.com)
// Kenji Ishimaru (info.wf3d@gmail.com)
//
//======================================================================
//
160,13 → 160,9
// configuration registers
.i_video_start(w_video_start),
.i_fb0_offset(w_fb0_offset),
.i_fb0_ms_offset(4'h0),
.i_fb1_offset(w_fb1_offset),
.i_fb1_ms_offset(4'h0),
.i_color_mode(w_color_mode),
.i_front_buffer(w_front_buffer),
.i_aa_en('d0),
.i_fb_blend_en(1'b0),
// status out
.o_vint_x(w_vint_x),
.o_vint_edge(w_vint_edge),
/fm_avalon.v
9,7 → 9,7
// Monophony core top module AVALON version
//
// Author:
// Kenji Ishimaru (kenji.ishimaru@prtissimo.com)
// Kenji Ishimaru (info.wf3d@gmail.com)
//
//======================================================================
//
/fm_3d_wrapper.v
9,7 → 9,7
// AVALON interface
//
// Author:
// Kenji Ishimaru (kenji.ishimaru@prtissimo.com)
// Kenji Ishimaru (info.wf3d@gmail.com)
//
//======================================================================
//
/fm_hsys.v
9,7 → 9,7
// System register module
//
// Author:
// Kenji Ishimaru (kenji.ishimaru@prtissimo.com)
// Kenji Ishimaru (info.wf3d@gmail.com)
//
//======================================================================
//
/fm_avalon_wb.v
6,10 → 6,10
// fm_avalon_wb.v
//
// Abstract:
// AVALON-WISHBOBE bus bridge
// AVALON-WISHBONE bus bridge
//
// Author:
// Kenji Ishimaru (kenji.ishimaru@prtissimo.com)
// Kenji Ishimaru (info.wf3d@gmail.com)
//
//======================================================================
//

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.