OpenCores
URL https://opencores.org/ocsvn/wisbone_2_ahb/wisbone_2_ahb/trunk

Subversion Repositories wisbone_2_ahb

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /wisbone_2_ahb/tags/t3/svtb/sim_svtb
    from Rev 10 to Rev 11
    Reverse comparison

Rev 10 → Rev 11

/wb_run.all
0,0 → 1,5
rm -rf ./work
vlib work
vlog -f compile_sv.f
vsim -c -suppress 4025 -suppress 4029 -novopt wb_ahb_top -do "run 1000ns; exit"
 
wb_run.all Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: wb_coverage.all =================================================================== --- wb_coverage.all (nonexistent) +++ wb_coverage.all (revision 11) @@ -0,0 +1,7 @@ +rm -rf ./work +vlib work +vlog -f compile_sv.f +rm cover_rpt.ucdb cover_rpt.out +vsim -c wb_ahb_top -do "run 1200ns ; fcover save cover_rpt.ucdb; vcover report -cvg -details cover_rpt.ucdb | tee cover_rpt.out; exit" +gvim cover_rpt.out +
wb_coverage.all Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: compile_sv.f =================================================================== --- compile_sv.f (nonexistent) +++ compile_sv.f (revision 11) @@ -0,0 +1,8 @@ ++incdir+libraries/systemverilog/avm +libraries/systemverilog/avm/avm_pkg.sv ++incdir+. +../avm_svtb/global.sv +../avm_svtb/wb_ahb_interface.sv +wb_ahb_pkg.sv +../avm_svtb/wb_ahb_master.sv +../avm_svtb/wb_ahb_top.sv
compile_sv.f Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: wb_ahb_pkg.sv =================================================================== --- wb_ahb_pkg.sv (nonexistent) +++ wb_ahb_pkg.sv (revision 11) @@ -0,0 +1,12 @@ +`timescale 1 ns/ 1 ps +package wb_ahb_pkg; +import global::*; + `include "../avm_svtb/wb_ahb_stim_gen.svh" + `include "../avm_svtb/wb_ahb_driver.svh" + `include "../avm_svtb/wb_ahb_responder.svh" + `include "../avm_svtb/wb_ahb_monitor.svh" + `include "../avm_svtb/wb_ahb_scoreboard.svh" + `include "../avm_svtb/wb_ahb_coverage.svh" + `include "../avm_svtb/wb_ahb_env.svh" +endpackage +
wb_ahb_pkg.sv Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.