OpenCores
URL https://opencores.org/ocsvn/xilinx_virtex_fp_library/xilinx_virtex_fp_library/trunk

Subversion Repositories xilinx_virtex_fp_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /xilinx_virtex_fp_library/trunk
    from Rev 10 to Rev 11
    Reverse comparison

Rev 10 → Rev 11

/DualPathFPAdderMappedConversions/d_ff.v
0,0 → 1,37
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 09:39:58 02/04/2013
// Design Name:
// Module Name: d_ff
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 / File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
 
module d_ff (clk, rst, d, q);
parameter SIZE = 24;
input clk;
input rst;
input [SIZE-1 : 0] d;
output reg [SIZE-1 : 0] q;
always
@(posedge clk, posedge rst)
begin
if (rst)
q <= {SIZE{1'b0}};
else
q <= d;
end
endmodule
/DualPathFPAdderMappedConversions/effective_op.v
0,0 → 1,40
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 17:41:11 11/04/2013
// Design Name:
// Module Name: effective_op
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module effective_op( input a_sign,
input b_sign,
input sub,
output reg eff_op);
always
@(*)
begin
case ({sub,a_sign, b_sign})
3'b000: eff_op = 0;
3'b001: eff_op = 1;
3'b010: eff_op = 1;
3'b011: eff_op = 0;
3'b100: eff_op = 1;
3'b101: eff_op = 0;
3'b110: eff_op = 0;
3'b111: eff_op = 1;
endcase
end
endmodule
/DualPathFPAdderMappedConversions/special_cases.v
0,0 → 1,57
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 18:56:11 10/07/2013
// Design Name:
// Module Name: special_cases_mul_acc
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module special_cases #( parameter size_exception_field = 2'd2,
parameter [size_exception_field - 1 : 0] zero = 0, //00
parameter [size_exception_field - 1 : 0] normal_number= 1, //01
parameter [size_exception_field - 1 : 0] infinity = 2, //10
parameter [size_exception_field - 1 : 0] NaN = 3) //11
( input [size_exception_field - 1 : 0] sp_case_a_number,
input [size_exception_field - 1 : 0] sp_case_b_number,
output reg [size_exception_field - 1 : 0] sp_case_result_o);
always
@(*)
begin
case ({sp_case_a_number, sp_case_b_number})
{zero, zero}: sp_case_result_o = zero;
{zero, normal_number}: sp_case_result_o = normal_number;
{zero, infinity}: sp_case_result_o = infinity;
{zero, NaN}: sp_case_result_o = NaN;
{normal_number,zero}: sp_case_result_o = normal_number;
{normal_number,normal_number}: sp_case_result_o = normal_number;
{normal_number,infinity}: sp_case_result_o = infinity;
{normal_number,NaN}: sp_case_result_o = NaN;
{infinity, zero}: sp_case_result_o = infinity;
{infinity, normal_number}: sp_case_result_o = infinity;
{infinity, infinity}: sp_case_result_o = infinity;
{infinity, NaN}: sp_case_result_o = NaN;
{NaN, zero}: sp_case_result_o = NaN;
{NaN, normal_number}: sp_case_result_o = NaN;
{NaN, infinity}: sp_case_result_o = NaN;
{NaN, NaN}: sp_case_result_o = NaN;
default: sp_case_result_o = zero;
endcase
end
endmodule
/DualPathFPAdderMappedConversions/shifter.v
0,0 → 1,112
 
 
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 18:00:33 10/15/2013
// Design Name:
// Module Name: shifter
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module shifter #( parameter INPUT_SIZE = 13,
parameter SHIFT_SIZE = 4,
parameter OUTPUT_SIZE = 24, //>INPUT_SIZE
parameter DIRECTION = 1,
parameter PIPELINE = 1,
parameter [7:0] POSITION = 8'b00000000)
(a, arith, shft, shifted_a);
input [INPUT_SIZE-1:0] a;
input arith;
input [SHIFT_SIZE-1:0] shft;
output [OUTPUT_SIZE-1:0] shifted_a;
wire [OUTPUT_SIZE-1:0] a_temp_d[SHIFT_SIZE:0];
wire [OUTPUT_SIZE-1:0] a_temp_q[SHIFT_SIZE:0];
assign a_temp_q[0][OUTPUT_SIZE-1 : OUTPUT_SIZE-INPUT_SIZE] = a;
assign a_temp_q[0][OUTPUT_SIZE-1-INPUT_SIZE : 0] = arith;
generate
begin : GENERATING
genvar i;
for (i = 0; i <= SHIFT_SIZE - 1; i = i + 1)
begin : BARREL_SHIFTER_GENERATION
if (DIRECTION == 1)
begin : LEFT
//begin : 1st_check
genvar j;
for (j = 0; j <= OUTPUT_SIZE - 1; j = j + 1)
begin : MUX_GEN_L
if (j < 2 ** i)
begin : ZERO_INS_L
assign a_temp_d[i][j] = (shft[i] == 1'b0) ? a_temp_q[i][j] : arith;
end
if (j >= 2 ** i)
begin : BIT_INS_L
assign a_temp_d[i][j] = (shft[i] == 1'b0) ? a_temp_q[i][j] : a_temp_q[i][j-2**i];
end
end
//end
end
if (DIRECTION == 0)
begin : RIGHT
//begin : 2nd_check
genvar j;
for (j = 0; j <= OUTPUT_SIZE - 1; j = j + 1)
begin : MUX_GEN_R
if (OUTPUT_SIZE - 1 < 2 ** i + j)
begin : ZERO_INS_R
assign a_temp_d[i][j] = (shft[i] == 1'b0) ? a_temp_q[i][j] : arith;
end
if (OUTPUT_SIZE - 1 >= 2 ** i + j)
begin : BIT_INS_R
assign a_temp_d[i][j] = (shft[i] == 1'b0) ? a_temp_q[i][j] : a_temp_q[i][j+2**i];
end
end
//end
end
if (PIPELINE != 0)
begin : PIPELINE_INSERTION
if (POSITION[i] == 1'b1)
begin : LATCH
d_ff #(OUTPUT_SIZE) D_INS(.clk(clk), .rst(rst), .d(a_temp_d[i]), .q(a_temp_q[i + 1]));
end
if (POSITION[i] == 1'b0)
begin : NO_LATCH
assign a_temp_q[i + 1] = a_temp_d[i];
end
end
if (PIPELINE == 0)
begin : NO_PIPELINE
assign a_temp_q[i + 1] = a_temp_d[i];
end
end
end
endgenerate
assign shifted_a = a_temp_q[SHIFT_SIZE];
endmodule
 
/DualPathFPAdderMappedConversions/leading_zeros.v
0,0 → 1,139
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 18:50:09 10/17/2013
// Design Name:
// Module Name: leading_zeros
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module leading_zeros #( parameter SIZE_INT = 24, //mantissa bits
parameter SIZE_COUNTER = 5, //log2(size_mantissa) + 1 = 5)
parameter PIPELINE = 2)
(a, ovf, lz);
input [SIZE_INT-1:0] a;
input ovf;
output [SIZE_COUNTER-1:0] lz;
parameter nr_levels = SIZE_COUNTER - 1;
parameter max_pow_2 = 2 ** SIZE_COUNTER;
parameter size_lz = SIZE_COUNTER;
wire [max_pow_2-1:0] a_complete;
wire [max_pow_2-1:0] v_d[nr_levels-1:0];
wire [max_pow_2-1:0] v_q[nr_levels-1:0];
wire [max_pow_2-1:0] p_d[nr_levels-1:0];
wire [max_pow_2-1:0] p_q[nr_levels-1:0];
wire [size_lz-1:0] lzc;
assign a_complete[max_pow_2 - 1 : max_pow_2 - 1 - SIZE_INT + 1] = a;
generate
if (max_pow_2 != SIZE_INT)
begin : gen_if
assign a_complete[max_pow_2 - 1 - SIZE_INT : 0] = 0;
end
endgenerate
generate
begin : level_0
genvar i;
for (i = max_pow_2/4 - 1; i >= 0; i = i - 1)
begin : level_0
assign v_d[0][i] = (a_complete[4 * i + 3 : 4 * i] == 4'b0000) ? 1'b0 : 1'b1;
assign p_d[0][2*i+1:2*i] = (a_complete[4 * i + 3] == 1'b1) ? 2'b00 :
(a_complete[4 * i + 2] == 1'b1) ? 2'b01 :
(a_complete[4 * i + 1] == 1'b1) ? 2'b10 : 2'b11;
end
end
endgenerate
generate
begin : level_generation_begin
genvar i;
for (i = 1; i <= nr_levels - 1; i = i + 1)
begin : level_generation
//begin : v_levels_begin
genvar j;
for (j = 0; j <= max_pow_2/(2 ** (i + 2)) - 1; j = j + 1)
begin : v_levels
assign v_d[i][j] = v_q[i - 1][2*j+1] | v_q[i - 1][2*j];
end
//end
//begin : p_levels_begin
// genvar j;
for (j = 0; j <= max_pow_2/(2 ** (i + 2)) - 1; j = j + 1)
begin : p_levels
assign p_d[i][(i+2)*j+i+1] = (~(v_q[i - 1][2*j+1]));
assign p_d[i][(i+2)*j+i : (i+2)*j] = (v_q[i - 1][2*j+1] == 1'b1) ? p_q[i - 1][j*(2*i+2)+2*i+1 : j*(2*i+2) + i + 1] : p_q[i - 1][j*(2*i+2)+i : j*(2*i+2)];
end
//end
end
end
endgenerate
generate
if (PIPELINE != 0)
begin : pipeline_stages
//begin : INSERTION_begin
genvar i;
for (i = 0; i <= nr_levels - 2; i = i + 1)
begin : INSERTION
if ((i + 1) % nr_levels/(PIPELINE + 1) == 0)
begin : INS
d_ff #(max_pow_2) P_Di(.clk(clk), .rst(rst), .d(p_d[i]), .q(p_q[i]));
d_ff #(max_pow_2) V_Di(.clk(clk), .rst(rst), .d(v_d[i]), .q(v_q[i]));
end
if ((i + 1) % nr_levels/(PIPELINE + 1) != 0)
begin : NO_INS
assign p_q[i] = p_d[i];
assign v_q[i] = v_d[i];
end
end
//end
assign p_q[nr_levels - 1] = p_d[nr_levels - 1];
assign v_q[nr_levels - 1] = v_d[nr_levels - 1];
end
endgenerate
generate
if (PIPELINE == 0)
begin : no_pipeline
//begin : xhdl4
genvar i;
for (i = 0; i <= nr_levels - 1; i = i + 1)
begin : NO_INSERTION
assign p_q[i] = p_d[i];
assign v_q[i] = v_d[i];
end
//end
end
endgenerate
assign lzc[size_lz - 1:0] = p_q[nr_levels - 1][size_lz - 1:0];
generate
begin : lz_ovf_begin
genvar i;
for (i = 0; i <= size_lz - 1; i = i + 1)
begin : lz_ovf
assign lz[i] = lzc[i] & ((~ovf));
end
end
endgenerate
endmodule
/DualPathFPAdderMappedConversions/rounding.v
0,0 → 1,35
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 16:09:49 11/04/2013
// Design Name:
// Module Name: rounding
// Project Name:
// Target Devices:
// Tool versions:
 
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
 
module rounding #( parameter SIZE_MOST_S_MANTISSA = 24,
parameter SIZE_LEAST_S_MANTISSA= 25)
( input [SIZE_MOST_S_MANTISSA - 1 : 0] unrounded_mantissa,
input [SIZE_LEAST_S_MANTISSA- 1 : 0] dummy_bits,
output[SIZE_MOST_S_MANTISSA - 1 : 0] rounded_mantissa);
wire g, sticky, round_dec;
assign g = dummy_bits[SIZE_LEAST_S_MANTISSA - 1];
assign sticky = |(dummy_bits[SIZE_LEAST_S_MANTISSA - 2 : 0]);
assign round_dec = g & (unrounded_mantissa[0] | sticky);
assign rounded_mantissa = unrounded_mantissa + round_dec;
endmodule
/DualPathFPAdderMappedConversions/DualPathAdderConversion.v
0,0 → 1,263
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 00:31:28 12/19/2013
// Design Name:
// Module Name: DualPathAdderConversion
// Project Name:
// Target Devices:
// Tool versions:
 
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module DualPathAdderConversion #( parameter size_mantissa = 24, //1.M
parameter size_exponent = 8,
parameter size_exception_field = 2,
parameter size_counter = 5,//log2(size_mantissa) + 1 = 5)
parameter [size_exception_field - 1 : 0] zero = 0, //00
parameter [size_exception_field - 1 : 0] normal_number = 1, //01
parameter [size_exception_field - 1 : 0] infinity = 2, //10
parameter [size_exception_field - 1 : 0] NaN = 3, //11
parameter size_integer = 32,
parameter counter_integer = 6,//log2(size_integer) + 1 = 6)
parameter [1 : 0] FP_operation = 0, //00
parameter [1 : 0] FP_to_int = 1, //01 - mapped on FarPath
parameter [1 : 0] int_to_FP = 2, //10 - mapped on ClosePath
parameter pipeline = 0,
parameter pipeline_pos = 0, // 8 bits
parameter size = size_mantissa + size_exponent + size_exception_field
)
( input [1:0] conversion,
input sub,
input [size - 1 : 0] a_number_i,
input [size - 1 : 0] b_number_i,
output[size - 1 : 0] resulted_number_o);
 
parameter double_size_mantissa = size_mantissa + size_mantissa;
parameter double_size_counter = size_counter + 1;
parameter max_size = (size_integer > size_mantissa)? size_integer : size_mantissa;
parameter max_counter = (counter_integer > size_counter)? counter_integer : size_counter;
parameter size_diff_i_m = (size_integer > size_mantissa)? (size_integer - size_mantissa) : (size_mantissa - size_integer);
parameter bias = {1'b0,{(size_exponent-1){1'b1}}};
parameter exp_biasedCP = bias + size_mantissa;
parameter exponentCP = exp_biasedCP - 1'b1;
wire [size_exception_field - 1 : 0] sp_case_a_number, sp_case_b_number;
wire [size_mantissa - 1 : 0] m_a_number, m_b_number;
wire [size_exponent - 1 : 0] e_a_number, e_b_number;
wire s_a_number, s_b_number;
wire [size_exponent : 0] a_greater_exponent, b_greater_exponent;
wire [size_exponent - 1 : 0] exp_difference;
wire [size_exponent : 0] exp_inter;
wire eff_op;
wire [size_exception_field - 1 : 0] set_b_sp_case, resulted_exception_field;
wire resulted_sign;
wire swap;
wire zero_flag;
wire [max_size - 1 : 0] max_entityFP;
wire [size_exponent - 1 : 0] resulted_e_oFP;
wire [size_exponent - 1 : 0] adjust_mantissaFP;
wire [size_exponent - 1 : 0] unadjusted_exponentFP;
wire [size_mantissa - 1 : 0] mantissa_to_shiftFP, shifted_m_bFP, convert_neg_mantissaFP;
wire [size_mantissa + 1 : 0] adder_mantissaFP;
wire [size_mantissa - 1 : 0] resulted_inter_m_oFP, resulted_m_oFP;
wire [size_mantissa - 1 : 0] initial_rounding_bitsFP, inter_rounding_bitsFP;
wire [double_size_mantissa:0] normalized_mantissaFP;
wire [size_mantissa : 0] unnormalized_mantissaFP, conversion_dummiesFP;
wire [size_exponent : 0] shift_value_when_positive_exponentFP, shift_value_when_negative_exponentFP;
wire [size_exponent - 1 : 0] shift_valueFP, shft_valFP;
wire [size_exponent : 0] exponentFP;
wire dummy_bitFP;
wire [max_size - 1 : 0] max_entityCP;
wire [size_mantissa - 1 : 0] shifted_m_bCP;
wire [size_mantissa + 1 : 0] adder_mantissaCP;
wire [size_mantissa : 0] unnormalized_mantissaCP;
wire [size_mantissa : 0] rounded_mantissaCP;
wire [size_mantissa - 1 : 0] r_mantissaCP;
wire [size_exponent - 1 : 0] resulted_e_oCP;
wire [size_mantissa - 1 : 0] resulted_m_oCP;
wire [size_exponent - 1 : 0] unadjusted_exponentCP, adjust_exponentCP;
wire [size_exponent - 1 : 0] exp_selectionCP;
wire [max_size - size_mantissa : 0] dummy_bitsCP;
wire [max_counter - 1 : 0] lzsCP;
wire init_shft_bitCP, shft_bitCP;
wire lsb_shft_bitCP;
wire do_conversion;
assign do_conversion = |conversion; //let me know if there is a conversion
 
assign e_a_number = a_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1]; //exponent for a_number_i
assign e_b_number = b_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1]; //exponent for b_number_i
assign s_a_number = a_number_i[size - size_exception_field - 1]; //sign for a_number_i
assign s_b_number = b_number_i[size - size_exception_field - 1]; //sign for b_number_i
assign sp_case_a_number = a_number_i[size - 1 : size - size_exception_field]; //special_case for a_number_i
assign sp_case_b_number = b_number_i[size - 1 : size - size_exception_field]; //special_case for b_number_i
//find the greater exponent
assign a_greater_exponent = e_a_number - e_b_number;
assign b_greater_exponent = e_b_number - e_a_number;
//find the difference between exponents
assign exp_difference = (a_greater_exponent[size_exponent])? b_greater_exponent[size_exponent - 1 : 0] : a_greater_exponent[size_exponent - 1 : 0];
assign exp_inter = (b_greater_exponent[size_exponent])? {1'b0, e_a_number} : {1'b0, e_b_number};
//set shifter always on m_b_number
assign {swap, m_a_number, m_b_number} = do_conversion? {1'b0,{e_a_number[0], a_number_i[size_mantissa - 2 :0]}, {1'b1, b_number_i[size_mantissa - 2 :0]}} :
(b_greater_exponent[size_exponent])?
{1'b0, {1'b1, a_number_i[size_mantissa - 2 :0]}, {1'b1, b_number_i[size_mantissa - 2 :0]}} :
{1'b1, {1'b1, b_number_i[size_mantissa - 2 :0]}, {1'b1, a_number_i[size_mantissa - 2 :0]}};
 
effective_op effective_op_instance( .a_sign(s_a_number), .b_sign(s_b_number), .sub(sub), .eff_op(eff_op));
//------------------------------------------------------- start ClosePath addition and conversion
assign {shifted_m_bCP, init_shft_bit} = (exp_difference)? {1'b0, m_b_number[size_mantissa-1:0]} : {m_b_number, 1'b0};
//compute unnormalized_mantissa
assign adder_mantissaCP = {1'b0, m_a_number} - shifted_m_bCP;
assign {unnormalized_mantissaCP, shft_bitCP} =
(adder_mantissaCP[size_mantissa + 1])? ({~adder_mantissaCP[size_mantissa : 0], ~init_shft_bitCP}) :
({adder_mantissaCP[size_mantissa : 0], init_shft_bitCP});
assign max_entityCP = do_conversion? (s_a_number? (~a_number_i[max_size-1 : 0]) : a_number_i[max_size-1 : 0]) :
{{(max_size-size_mantissa-1){1'b0}}, unnormalized_mantissaCP[size_mantissa : 0]};
assign lsb_shft_bitCP = (do_conversion)? s_a_number : max_entityCP[0];
assign max_ovfCP = do_conversion? 1'b0 : unnormalized_mantissaCP[size_mantissa];
//compute leading_zeros over unnormalized mantissa
leading_zeros #(.SIZE_INT(max_size), .SIZE_COUNTER(max_counter), .PIPELINE(pipeline))
leading_zeros_CP_instance ( .a(max_entityCP),
.ovf(max_ovfCP),
.lz(lzsCP));
//compute shifting over unnormalized_mantissa
shifter #( .INPUT_SIZE(max_size),
.SHIFT_SIZE(max_counter),
.OUTPUT_SIZE(max_size + 1),
.DIRECTION(1'b1), //0=right, 1=left
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
shifter_CP_instance( .a(max_entityCP),//mantissa
.arith(lsb_shft_bitCP),
.shft(lzsCP),
.shifted_a({r_mantissaCP, dummy_bitsCP}));
assign rounded_mantissaCP = (r_mantissaCP[0] && dummy_bitsCP[max_size - size_mantissa] && (|dummy_bitsCP[max_size - size_mantissa - 1 : 0 ]))?
r_mantissaCP + 1'b1 : r_mantissaCP;
assign resulted_m_oCP = (rounded_mantissaCP[size_mantissa])? rounded_mantissaCP[size_mantissa : 1] :
rounded_mantissaCP[size_mantissa-1:0];
assign ovfCP = do_conversion? s_a_number : adder_mantissaCP[size_mantissa+1];
assign exp_selectionCP = do_conversion? exponentCP : exp_inter;
assign adjust_exponentCP = exp_selectionCP - lzsCP;
assign unadjusted_exponentCP = adjust_exponentCP + size_diff_i_m;
assign resulted_e_oCP = (do_conversion & ~(|max_entityCP))? bias : unadjusted_exponentCP + rounded_mantissaCP[size_mantissa];
//------------------------------------------------------- end ClosePath addition and conversion
//--------------------------------------------- start FarPath addition and conversion
assign exponentFP = e_a_number - bias;
assign shift_value_when_positive_exponentFP = max_size - 2'd2 - exponentFP[size_exponent-1 : 0];
assign shift_value_when_negative_exponentFP = max_size + (~exponentFP[size_exponent-1 : 0]);
assign shift_valueFP = (exponentFP[size_exponent])? shift_value_when_negative_exponentFP[size_exponent - 1 : 0] :
(shift_value_when_positive_exponentFP[size_exponent])? (~shift_value_when_positive_exponentFP[size_exponent - 1 : 0]):
shift_value_when_positive_exponentFP[size_exponent - 1 : 0];
assign shft_valFP = do_conversion? shift_valueFP : exp_difference;
assign convert_neg_mantissaFP = {1'b0, ~a_number_i[size_mantissa-2 : 0]};
assign conversion_dummiesFP = {(size_mantissa+1){1'b1}};
assign mantissa_to_shiftFP = do_conversion? (s_a_number? convert_neg_mantissaFP + 1'b1 : {1'b1, a_number_i[size_mantissa-2 : 0]}) : m_b_number;
assign arith_shiftFP = do_conversion? s_a_number : 1'b0;
//shift m_b_number
shifter #( .INPUT_SIZE(size_mantissa),
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(double_size_mantissa),
.DIRECTION(1'b0), //0=right, 1=left
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
m_b_shifter_FP_instance( .a(mantissa_to_shiftFP),
.arith(arith_shiftFP),
.shft(shft_valFP),
.shifted_a({shifted_m_bFP, initial_rounding_bitsFP}));
assign max_entityFP = {s_a_number, shifted_m_bFP[size_mantissa-1 : 0], initial_rounding_bitsFP[size_mantissa-1 : size_mantissa - size_diff_i_m + 1]};
//compute unnormalized_mantissa
assign adder_mantissaFP = (eff_op)? ({1'b0, m_a_number} - {1'b0, shifted_m_bFP}) : ({1'b0, m_a_number} + {1'b0, shifted_m_bFP});
assign {unnormalized_mantissaFP, inter_rounding_bitsFP} =
(adder_mantissaFP[size_mantissa + 1])? ({~adder_mantissaFP[size_mantissa : 0], ~initial_rounding_bitsFP}) :
({adder_mantissaFP[size_mantissa : 0], initial_rounding_bitsFP});
assign adjust_mantissaFP = unnormalized_mantissaFP[size_mantissa]? 2'd0 :
unnormalized_mantissaFP[size_mantissa-1]? 2'd1 : 2'd2;
 
//compute shifting over unnormalized_mantissa
shifter #( .INPUT_SIZE(double_size_mantissa+1),
.SHIFT_SIZE(size_exponent),
.OUTPUT_SIZE(double_size_mantissa+2),
.DIRECTION(1'b1),
.PIPELINE(pipeline),
.POSITION(pipeline_pos))
unnormalized_no_shifter_FP_instance(.a({unnormalized_mantissaFP, inter_rounding_bitsFP}),
.arith(1'b0),
.shft(adjust_mantissaFP),
.shifted_a({normalized_mantissaFP, dummy_bitFP}));
//instantiate rounding_component
rounding #( .SIZE_MOST_S_MANTISSA(size_mantissa),
.SIZE_LEAST_S_MANTISSA(size_mantissa + 2'd1))
rounding_FP_instance( .unrounded_mantissa(normalized_mantissaFP[double_size_mantissa : double_size_mantissa - size_mantissa + 1]),
.dummy_bits(normalized_mantissaFP[double_size_mantissa - size_mantissa: 0]),
.rounded_mantissa(resulted_inter_m_oFP));
assign resulted_m_oFP = do_conversion? max_entityFP[size_mantissa-1 : 0] : resulted_inter_m_oFP;
assign unadjusted_exponentFP = exp_inter - adjust_mantissaFP;
assign resulted_e_oFP = do_conversion? max_entityFP[size_mantissa+size_exponent-2 : size_mantissa-1] : unadjusted_exponentFP + 1'b1;
//-------------------------------------------------------- end FarPath addition and conversion
 
assign set_b_sp_case = do_conversion? zero : sp_case_b_number;
//compute exception_field
special_cases #( .size_exception_field(size_exception_field),
.zero(zero),
.normal_number(normal_number),
.infinity(infinity),
.NaN(NaN))
special_cases_instance( .sp_case_a_number(sp_case_a_number),
.sp_case_b_number(set_b_sp_case),
.sp_case_result_o(resulted_exception_field));
//set zero_flag in case of equal numbers
assign zero_flag = ((exp_difference > 1 | !eff_op) & conversion != int_to_FP)? ~(|resulted_m_oFP) : ~(|resulted_m_oCP);
assign resulted_sign = do_conversion? s_a_number :
((exp_difference > 1 | !eff_op)? (!a_greater_exponent[size_exponent]? s_a_number : (eff_op? ~s_b_number : s_b_number)) :
(ovfCP ^ swap));
assign resulted_number_o = (zero_flag)? {size{1'b0}} : ((exp_difference > 1 | !eff_op) & conversion != int_to_FP)?
{resulted_exception_field, resulted_sign, resulted_e_oFP, resulted_m_oFP[size_mantissa-2 : 0]}:
{resulted_exception_field, resulted_sign, resulted_e_oCP, resulted_m_oCP[size_mantissa-2 : 0]};
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.