OpenCores
URL https://opencores.org/ocsvn/xulalx25soc/xulalx25soc/trunk

Subversion Repositories xulalx25soc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /xulalx25soc
    from Rev 24 to Rev 25
    Reverse comparison

Rev 24 → Rev 25

/trunk/sw/netusb.cpp
160,7 → 160,9
} for(int i=0; i<nr; i++) {
lb.m_oline[lb.m_olen++] = lb.m_buf[i];
assert(lb.m_buf[i] != '\0');
if ((lb.m_oline[lb.m_olen-1]=='\n')||(lb.m_oline[lb.m_olen-1]=='\r')||(lb.m_olen >= (int)sizeof(lb.m_oline)-1)) {
if ((lb.m_oline[lb.m_olen-1]=='\n')
||(lb.m_oline[lb.m_olen-1]=='\r')
||(lb.m_olen >= (int)sizeof(lb.m_oline)-1)) {
if (lb.m_olen >= (int)sizeof(lb.m_oline)-1)
lb.m_oline[lb.m_olen] = '\0';
else
188,8 → 190,10
write(confd, lb.m_buf, nr);
} for(int i=0; i<nr; i++) {
lb.m_iline[lb.m_ilen++] = lb.m_buf[i];
if ((lb.m_iline[lb.m_ilen-1]=='\n')||(lb.m_iline[lb.m_ilen-1]=='\r')||(lb.m_ilen>=sizeof(lb.m_iline)-1)) {
if (lb.m_ilen >= sizeof(lb.m_iline)-1)
if ((lb.m_iline[lb.m_ilen-1]=='\n')
||(lb.m_iline[lb.m_ilen-1]=='\r')
||(lb.m_ilen >= (int)sizeof(lb.m_iline)-1)) {
if (lb.m_ilen >= (int)sizeof(lb.m_iline)-1)
lb.m_iline[lb.m_ilen] = '\0';
else
lb.m_iline[lb.m_ilen-1] = '\0';
/trunk/sw/port.h
42,7 → 42,7
// computer, test it, and when/if it doesn't work we can replace the device
// with the test-bench. Across the network, no one will know any better that
// anything had changed.
#define FPGAHOST "lazarus" // A random hostname,back from the grave
#define FPGAHOST "localhost" // A random hostname,back from the grave
#define FPGATTY "/dev/ttyUSB1"
#define FPGAPORT 7239 // Just some random port number ....
 
/trunk/sw/ttybus.cpp
75,6 → 75,7
void null(...) {}
#include <stdarg.h>
// #include <varargs.h>
#if (DBGPRINTF != null)
static void filedump(const char *fmt, ...) {
static FILE *dbgfp = NULL;
va_list args;
86,6 → 87,7
va_end(args);
fflush(dbgfp);
}
#endif
 
char TTYBUS::charenc(const int sixbitval) {
if (sixbitval < 10)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.