OpenCores
URL https://opencores.org/ocsvn/yavga/yavga/trunk

Subversion Repositories yavga

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /yavga/trunk/charmaps
    from Rev 35 to Rev 36
    Reverse comparison

Rev 35 → Rev 36

/charmaps_ROM.vhd
57,8 → 57,8
 
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
library UNISIM;
use UNISIM.VComponents.all;
--library UNISIM;
--use UNISIM.VComponents.all;
 
entity charmaps_ROM is
port (
/charmaps_ROM.vhd_head
57,8 → 57,8
 
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
library UNISIM;
use UNISIM.VComponents.all;
--library UNISIM;
--use UNISIM.VComponents.all;
 
entity charmaps_ROM is
port (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.