OpenCores
URL https://opencores.org/ocsvn/yifive/yifive/trunk

Subversion Repositories yifive

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /yifive/trunk/caravel_yifive/openlane
    from Rev 9 to Rev 18
    Reverse comparison

Rev 9 → Rev 18

/spi_master/config.tcl
0,0 → 1,118
# Global
# ------
 
set script_dir [file dirname [file normalize [info script]]]
# Name
set ::env(DESIGN_NAME) spim_top
 
# This is macro
set ::env(DESIGN_IS_CORE) 0
 
# Diode insertion
# Spray
set ::env(DIODE_INSERTION_STRATEGY) 0
 
# Smart-"ish"
#set ::env(DIODE_INSERTION_STRATEGY) 3
#set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 10
 
# Timing configuration
set ::env(CLOCK_PERIOD) "10"
set ::env(CLOCK_PORT) "mclk"
 
 
# Sources
# -------
 
# Local sources + no2usb sources
set ::env(VERILOG_FILES) "\
$script_dir/../../verilog/rtl/spi_master/src/spim_top.sv \
$script_dir/../../verilog/rtl/spi_master/src/spim_regs.sv \
$script_dir/../../verilog/rtl/spi_master/src/spim_clkgen.sv \
$script_dir/../../verilog/rtl/spi_master/src/spim_ctrl.sv \
$script_dir/../../verilog/rtl/spi_master/src/spim_rx.sv \
$script_dir/../../verilog/rtl/spi_master/src/spim_tx.sv "
 
#set ::env(VERILOG_INCLUDE_DIRS) [glob $script_dir/../../verilog/rtl/syntacore/scr1/src/includes ]
 
#set ::env(SYNTH_DEFINES) [list SCR1_DBG_EN ]
 
 
# Need blackbox for cells
set ::env(SYNTH_READ_BLACKBOX_LIB) 0
 
 
# Floorplanning
# -------------
 
# Fixed area and pin position
set ::env(FP_SIZING) "absolute"
#actual die area is 0 0 2920 3520, given 500 micron extra margin
set ::env(DIE_AREA) [list 0.0 0.0 300.0 300.0]
set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
 
# Halo around the Macros
set ::env(FP_HORIZONTAL_HALO) 25
set ::env(FP_VERTICAL_HALO) 20
 
#set ::env(PDN_CFG) $::env(DESIGN_DIR)/pdn.tcl
 
 
 
# Placement
# ---------
 
set ::env(PL_TARGET_DENSITY) 0.40
 
#set ::env(MACRO_PLACEMENT_CFG) $::env(DESIGN_DIR)/macro_placement.cfg
 
 
# Routing
# -------
 
#| `ROUTING_CORES` | Specifies the number of threads to be used in TritonRoute. <br> (Default: `4`) |
set ::env(ROUTING_CORES) 4
 
#| `GLB_RT_ALLOW_CONGESTION` | Allow congestion in the resultign guides. 0 = false, 1 = true <br> (Default: `0`) |
set ::env(GLB_RT_ALLOW_CONGESTION) 0
 
# | `GLB_RT_MINLAYER` | The number of lowest layer to be used in routing. <br> (Default: `1`)|
set ::env(GLB_RT_MINLAYER) 1
 
# | `GLB_RT_MAXLAYER` | The number of highest layer to be used in routing. <br> (Default: `6`)|
set ::env(GLB_RT_MAXLAYER) 6
 
# Obstructions
# li1 over the SRAM areas
# met5 over the whole design
#set ::env(GLB_RT_OBS) "li1 0.00 22.68 1748.00 486.24, li1 0.00 851.08 1748.00 486.24, met5 0.0 0.0 1748.0 1360.0"
 
#| `ROUTING_OPT_ITERS` | Specifies the maximum number of optimization iterations during Detailed Routing in TritonRoute. <br> (Default: `64`) |
set ::env(ROUTING_OPT_ITERS) "64"
 
#| `GLOBAL_ROUTER` | Specifies which global router to use. Values: `fastroute` or `cugr`. <br> (Default: `fastroute`) |
set ::env(GLOBAL_ROUTER) "fastroute"
 
#| `DETAILED_ROUTER` | Specifies which detailed router to use. Values: `tritonroute`, `tritonroute_or`, or `drcu`. <br> (Default: `tritonroute`) |
set ::env(DETAILED_ROUTER) "tritonroute"
 
# DRC
# ---
 
 
set ::env(MAGIC_DRC_USE_GDS) 1
 
 
# Tape Out
# --------
 
set ::env(MAGIC_ZEROIZE_ORIGIN) 0
 
 
# Cell library specific config
# ----------------------------
 
set filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl
if { [file exists $filename] == 1} {
source $filename
}
spi_master/config.tcl Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: spi_master/pin_order.cfg =================================================================== --- spi_master/pin_order.cfg (nonexistent) +++ spi_master/pin_order.cfg (revision 18) @@ -0,0 +1,36 @@ +#BUS_SORT + +#E +mclk +rst_n +events_o.* + + +#N +spi_mode.* +spi_clk +spi_csn0 +spi_csn1 +spi_csn2 +spi_csn3 +spi_en_tx +spi_sdi0 +spi_sdi1 +spi_sdi2 +spi_sdi3 +spi_sdo0 +spi_sdo1 +spi_sdo2 +spi_sdo3 + + +#N +wbd_ack_o +wbd_err_o +wbd_stb_i +wbd_we_i +wbd_adr_i.* +wbd_dat_i.* +wbd_dat_o.* +wbd_sel_i.* + Index: syntacore/config.tcl =================================================================== --- syntacore/config.tcl (revision 9) +++ syntacore/config.tcl (revision 18) @@ -3,7 +3,7 @@ set script_dir [file dirname [file normalize [info script]]] # Name -set ::env(DESIGN_NAME) scr1_top_axi +set ::env(DESIGN_NAME) scr1_top_wb # This is macro set ::env(DESIGN_IS_CORE) 0 @@ -26,34 +26,36 @@ # Local sources + no2usb sources set ::env(VERILOG_FILES) "\ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_top.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/scr1_core_top.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/scr1_dm.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/scr1_tapc_synchronizer.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/scr1_clk_ctrl.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/scr1_scu.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/scr1_tapc.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/scr1_tapc_shift_reg.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/scr1_dmi.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/primitives/scr1_reset_cells.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_ifu.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_idu.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_exu.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_mprf.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_csr.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_ialu.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_lsu.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_hdu.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_pipe_tdu.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/core/pipeline/scr1_ipic.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/top/scr1_dmem_router.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/top/scr1_imem_router.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/top/scr1_tcm.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/top/scr1_timer.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/top/scr1_top_axi.sv \ - $script_dir/../../verilog/rtl/syntacore_scr1/src/top/scr1_mem_axi.sv " + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_top.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/scr1_core_top.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/scr1_dm.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/scr1_tapc_synchronizer.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/scr1_clk_ctrl.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/scr1_scu.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/scr1_tapc.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/scr1_tapc_shift_reg.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/scr1_dmi.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/primitives/scr1_reset_cells.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_ifu.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_idu.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_exu.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_mprf.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_csr.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_ialu.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_lsu.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_hdu.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_pipe_tdu.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/core/pipeline/scr1_ipic.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/top/scr1_dmem_router.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/top/scr1_imem_router.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/top/scr1_tcm.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/top/scr1_timer.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/top/scr1_top_wb.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/top/scr1_dmem_wb.sv \ + $script_dir/../../verilog/rtl/syntacore/scr1/src/top/scr1_imem_wb.sv \ + $script_dir/../../verilog/rtl/lib/sync_fifo.sv " -set ::env(VERILOG_INCLUDE_DIRS) [glob $script_dir/../../verilog/rtl/syntacore_scr1/src/includes ] +set ::env(VERILOG_INCLUDE_DIRS) [glob $script_dir/../../verilog/rtl/syntacore/scr1/src/includes ] #set ::env(SYNTH_DEFINES) [list SCR1_DBG_EN ]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.