OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /z80soc/tags/z80soc05b/ROM
    from Rev 8 to Rev 31
    Reverse comparison

Rev 8 → Rev 31

/rom.hex
0,0 → 1,?rev2len?
31, FF, FF, CD, C7, 00, 21, 32, 20, 11, 0E, 01, CD, D7, 00, 21, 5A, 20, 11, 21, 01, CD, D7, 00, CD, E8, 00, 21, 46, 21, 11, 34, 01, CD, D7, 00, 21, 96, 21, 11, 50, 01, CD, D7, 00, 21, E6, 21, 11, 6D, 01, CD, D7, 00, 21, 36, 22, 11, 8A, 01, CD, D7, 00, 21, 5E, 22, 11, 9E, 01, CD, D7, 00, DB, 20, 32, 00, E0, 11, D6, 22, 01, 1E, 00, CD, DF, 00, FE, 41, 28, 0B, D3, 11, 12, 13, 0B, 78, B1, 28, EA, 18, EE, 21, 00, 40, 3E, 00, 77, 23, 3C, 20, FB, 3E, 01, D3, 01, CD, B9, 00, CD, B9, 00, CD, B9, 00, CD, A6, 00, CD, B9, 00, CD, A6, 00, 21, 00, 40, 7C, D3, 11, 7D, D3, 10, 7E, D3, 01, CD, B9, 00, 23, 7E, FE, FF, 20, EE, 3E, 00, D3, 01, D3, 02, CD, A6, 00, C3, 03, 00, CD, B9, 00, DB, 30, D3, 10, FE, 0E, 20, F5, C9, 3A, 00, E0, 3D, 20, FD, C9, 3A, 00, E0, F5, 3E, FF, 3D, 20, FD, F1, 3D, 20, F6, C9, 21, 00, 20, 11, B0, 04, 3E, 20, 77, 23, 1B, 7A, B3, 20, F7, C9, 1A, B7, C8, 77, 23, 13, 18, F8, CD, B9, 00, DB, 80, B7, 28, F8, C9, 11, BD, 01, 21, F5, 20, CD, D7, 00, 21, 1D, 21, 3E, 0A, 11, FF, 01, F5, E5, CD, D7, 00, E1, F1, 01, 28, 00, 09, 3D, 20, EF, 11, DE, 01, CD, D7, 00, C9, 5A, 38, 30, 20, 53, 59, 53, 54, 45, 4D, 20, 4F, 4E, 20, 43, 48, 49, 50, 00, 52, 4F, 4E, 49, 56, 4F, 4E, 20, 43, 4F, 53, 54, 41, 20, 32, 30, 30, 38, 00, 20, 20, 7C, 21, 23, 24, 25, 26, 2F, 28, 29, 3D, 3F, 2A, 60, 2B, B4, E7, 7E, 5E, 2C, 2E, 3B, 3A, 5C, 3C, 3E, 00, 20, 20, 41, 42, 43, 44, 45, 46, 47, 48, 49, 4A, 4B, 4C, 4D, 4E, 4F, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 5A, 00, 20, 20, 61, 62, 63, 64, 65, 66, 67, 68, 69, 6A, 6B, 6C, 6D, 6E, 6F, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 7A, 00, 20, 20, 20, 20, 20, 20, 20, 20, 20, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 00, 02, 03, 04, 0B, 0C, 0D, 0E, 12, 18, 19, 1A, 1B, E8, E9, EB, BB, BC, 8A, 86, 87, 81, 80, 01, 06, 07, 08, 09, 0A, 1D, 1F, 00, C9, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, BB, 00, C8, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, CD, BC, 00, BA, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, 20, BA, 00
/SoC_PS2.z8a
0,0 → 1,198
 
ld sp,0FFFFh ; Put Stack on top of memory
inicio:
call clear
ld hl,8242
ld de,Text1
call printmsg
ld hl,8282
ld de,Text2
call printmsg
call Border
ld hl,8518
ld de,Text3
call printmsg
ld hl,8598
ld de,Text4
call printmsg
ld hl,8678
ld de,Text5
call printmsg
ld hl,8758
ld de,Text6
call printmsg
ld hl,8798
ld de,Text7
call printmsg
setDelay:
in a,(20h) ; Read SW(7-0)
ld (0E000h),a ; Stores delay multiplier
; to be used in Delay routine
; This value it is set only when
; Z80 is reset
 
 
setprint:
ld de,8918
ld bc,30
loopprint:
call read_kbd
cp 41h
jr z,go_on
OUT (11H),A
ld (de),a
inc de
dec bc
ld a,b
or c
jr z,setprint
jr loopprint
go_on:
ld hl,4000h ; RAM Address
ld a,0 ; Value to store in memory
loop1:
ld (hl),a ; Store A into Memory (HL)
inc hl ; Point to next memory location
inc a ; Add 1 to value to store in memory
jr nz,loop1 ; If A not equal 0 continue loop1
; When A=FFh, and we make A=A+1 ...
; A becomes 100h
; But, A is an 8 bits register, and
; can only store the two last hex digits,
; that is, 8 bits.
ld a,1
out (01h),a
call delay
call delay
call delay
call read_key0 ; Wait until KEY0 is pressed
call delay
call read_key0
;
ld hl,4000h ; Get back the start RAM address
loop2:
ld a,h
out (11h),a
ld a,l
out (10h),a
ld a,(hl) ; Read back the values stored in loop1
out (01h),a ; Send to Green Leds
call delay ; Call sub-routine to delay some time
inc hl ; Add 1 to the memory location
ld a,(hl) ; Read the value in the memory
cp FFh ; check if equal 255
jr nz,loop2 ; If not equal 255, continue loop2
; end of First execution
ld a,00h ;
out (01h),a ; Turn off leds
out (02h),a
call read_key0 ; Wait until KEY0 is pressed
jP inicio ; Restart program
read_key0:
loop3:
call delay
in a,(30h) ; Read KEYs (all 4 KEYs)
out (10h),a
cp 0Eh ; check if returns "00001110"
jr nz,loop3 ; KEY0 not pressed, continue checking
ret
iodelay:
ld a,(0E000h) ; Read the number of times to loop
loop4:
dec a ; Start counting backwards
jr nz,loop4 ; If A greather than 0, continue loop
ret ; return to calling program
delay:
ld a,(0E000h) ; Read the delay mulpitplier
loop5:
PUSH af
ld a,ffh ; Every delay has at least 255 loops
loop6:
dec a ; Start counting backwards
jr nz,loop6 ; If A greather than 0, continue loop
pop af ; Get multiplier back
dec a ;
jr nz,loop5
ret ; return to calling program
clear:
ld hl,2000h
ld de,1200
clean_loop:
ld a,20h
ld (hl),a
inc hl
dec de
ld a,d
or e
jr nz,clean_loop
ret
printmsg:
 
ploop:
 
ld a,(de)
or a
ret z
ld (hl),a
inc hl
inc de
jr ploop
read_kbd:
call delay
in a,(80h)
or a
jr z,read_kbd
ret
Border:
ld de,Lineu
ld hl,8437
call printmsg
ld hl,8477
ld a,10
brdloop:
ld de,Column
push af
push hl
call printmsg
pop hl
pop af
ld bc,40
add hl,bc
dec a
jr nz,brdloop
ld de,Lined
call printmsg
ret
Text1:
db "Z80 SYSTEM ON CHIP"
db 0
Text2:
db "RONIVON COSTA 2008"
db 0
Text3:
 
db 0
Text4:
db " ABCDEFGHIJKLMNOPQRSTUVWXYZ"
db 0
Text5:
db " abcdefghijklmnopqrstuvwxyz"
db 0
Text6:
db " 0123456789"
db 0
Text7:
db 2,3,4,11,12,13,14,18,24,25,26,27,232,233,235,187,188,138,134,135,129,128,1,6,7,8,9,10,29,31
db 0
Lineu:
db 201,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,187
db 0
Lined:
db 200,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,205,188
db 0
 
Column:
db 186
db " "
db 186
db 0
/rom.vhd
0,0 → 1,568
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
 
entity rom is
port(
Clk : in std_logic;
A : in std_logic_vector(15 downto 0);
D : out std_logic_vector(7 downto 0)
);
end rom;
 
architecture rtl of rom is
begin
 
process (Clk)
begin
if Clk'event and Clk = '1' then
case A is
when x"0000" => D <= x"31";
when x"0001" => D <= x"FF";
when x"0002" => D <= x"FF";
when x"0003" => D <= x"CD";
when x"0004" => D <= x"C7";
when x"0005" => D <= x"00";
when x"0006" => D <= x"21";
when x"0007" => D <= x"32";
when x"0008" => D <= x"20";
when x"0009" => D <= x"11";
when x"000A" => D <= x"0E";
when x"000B" => D <= x"01";
when x"000C" => D <= x"CD";
when x"000D" => D <= x"D7";
when x"000E" => D <= x"00";
when x"000F" => D <= x"21";
when x"0010" => D <= x"5A";
when x"0011" => D <= x"20";
when x"0012" => D <= x"11";
when x"0013" => D <= x"21";
when x"0014" => D <= x"01";
when x"0015" => D <= x"CD";
when x"0016" => D <= x"D7";
when x"0017" => D <= x"00";
when x"0018" => D <= x"CD";
when x"0019" => D <= x"E8";
when x"001A" => D <= x"00";
when x"001B" => D <= x"21";
when x"001C" => D <= x"46";
when x"001D" => D <= x"21";
when x"001E" => D <= x"11";
when x"001F" => D <= x"34";
when x"0020" => D <= x"01";
when x"0021" => D <= x"CD";
when x"0022" => D <= x"D7";
when x"0023" => D <= x"00";
when x"0024" => D <= x"21";
when x"0025" => D <= x"96";
when x"0026" => D <= x"21";
when x"0027" => D <= x"11";
when x"0028" => D <= x"50";
when x"0029" => D <= x"01";
when x"002A" => D <= x"CD";
when x"002B" => D <= x"D7";
when x"002C" => D <= x"00";
when x"002D" => D <= x"21";
when x"002E" => D <= x"E6";
when x"002F" => D <= x"21";
when x"0030" => D <= x"11";
when x"0031" => D <= x"6D";
when x"0032" => D <= x"01";
when x"0033" => D <= x"CD";
when x"0034" => D <= x"D7";
when x"0035" => D <= x"00";
when x"0036" => D <= x"21";
when x"0037" => D <= x"36";
when x"0038" => D <= x"22";
when x"0039" => D <= x"11";
when x"003A" => D <= x"8A";
when x"003B" => D <= x"01";
when x"003C" => D <= x"CD";
when x"003D" => D <= x"D7";
when x"003E" => D <= x"00";
when x"003F" => D <= x"21";
when x"0040" => D <= x"5E";
when x"0041" => D <= x"22";
when x"0042" => D <= x"11";
when x"0043" => D <= x"9E";
when x"0044" => D <= x"01";
when x"0045" => D <= x"CD";
when x"0046" => D <= x"D7";
when x"0047" => D <= x"00";
when x"0048" => D <= x"DB";
when x"0049" => D <= x"20";
when x"004A" => D <= x"32";
when x"004B" => D <= x"00";
when x"004C" => D <= x"E0";
when x"004D" => D <= x"11";
when x"004E" => D <= x"D6";
when x"004F" => D <= x"22";
when x"0050" => D <= x"01";
when x"0051" => D <= x"1E";
when x"0052" => D <= x"00";
when x"0053" => D <= x"CD";
when x"0054" => D <= x"DF";
when x"0055" => D <= x"00";
when x"0056" => D <= x"FE";
when x"0057" => D <= x"41";
when x"0058" => D <= x"28";
when x"0059" => D <= x"0B";
when x"005A" => D <= x"D3";
when x"005B" => D <= x"11";
when x"005C" => D <= x"12";
when x"005D" => D <= x"13";
when x"005E" => D <= x"0B";
when x"005F" => D <= x"78";
when x"0060" => D <= x"B1";
when x"0061" => D <= x"28";
when x"0062" => D <= x"EA";
when x"0063" => D <= x"18";
when x"0064" => D <= x"EE";
when x"0065" => D <= x"21";
when x"0066" => D <= x"00";
when x"0067" => D <= x"40";
when x"0068" => D <= x"3E";
when x"0069" => D <= x"00";
when x"006A" => D <= x"77";
when x"006B" => D <= x"23";
when x"006C" => D <= x"3C";
when x"006D" => D <= x"20";
when x"006E" => D <= x"FB";
when x"006F" => D <= x"3E";
when x"0070" => D <= x"01";
when x"0071" => D <= x"D3";
when x"0072" => D <= x"01";
when x"0073" => D <= x"CD";
when x"0074" => D <= x"B9";
when x"0075" => D <= x"00";
when x"0076" => D <= x"CD";
when x"0077" => D <= x"B9";
when x"0078" => D <= x"00";
when x"0079" => D <= x"CD";
when x"007A" => D <= x"B9";
when x"007B" => D <= x"00";
when x"007C" => D <= x"CD";
when x"007D" => D <= x"A6";
when x"007E" => D <= x"00";
when x"007F" => D <= x"CD";
when x"0080" => D <= x"B9";
when x"0081" => D <= x"00";
when x"0082" => D <= x"CD";
when x"0083" => D <= x"A6";
when x"0084" => D <= x"00";
when x"0085" => D <= x"21";
when x"0086" => D <= x"00";
when x"0087" => D <= x"40";
when x"0088" => D <= x"7C";
when x"0089" => D <= x"D3";
when x"008A" => D <= x"11";
when x"008B" => D <= x"7D";
when x"008C" => D <= x"D3";
when x"008D" => D <= x"10";
when x"008E" => D <= x"7E";
when x"008F" => D <= x"D3";
when x"0090" => D <= x"01";
when x"0091" => D <= x"CD";
when x"0092" => D <= x"B9";
when x"0093" => D <= x"00";
when x"0094" => D <= x"23";
when x"0095" => D <= x"7E";
when x"0096" => D <= x"FE";
when x"0097" => D <= x"FF";
when x"0098" => D <= x"20";
when x"0099" => D <= x"EE";
when x"009A" => D <= x"3E";
when x"009B" => D <= x"00";
when x"009C" => D <= x"D3";
when x"009D" => D <= x"01";
when x"009E" => D <= x"D3";
when x"009F" => D <= x"02";
when x"00A0" => D <= x"CD";
when x"00A1" => D <= x"A6";
when x"00A2" => D <= x"00";
when x"00A3" => D <= x"C3";
when x"00A4" => D <= x"03";
when x"00A5" => D <= x"00";
when x"00A6" => D <= x"CD";
when x"00A7" => D <= x"B9";
when x"00A8" => D <= x"00";
when x"00A9" => D <= x"DB";
when x"00AA" => D <= x"30";
when x"00AB" => D <= x"D3";
when x"00AC" => D <= x"10";
when x"00AD" => D <= x"FE";
when x"00AE" => D <= x"0E";
when x"00AF" => D <= x"20";
when x"00B0" => D <= x"F5";
when x"00B1" => D <= x"C9";
when x"00B2" => D <= x"3A";
when x"00B3" => D <= x"00";
when x"00B4" => D <= x"E0";
when x"00B5" => D <= x"3D";
when x"00B6" => D <= x"20";
when x"00B7" => D <= x"FD";
when x"00B8" => D <= x"C9";
when x"00B9" => D <= x"3A";
when x"00BA" => D <= x"00";
when x"00BB" => D <= x"E0";
when x"00BC" => D <= x"F5";
when x"00BD" => D <= x"3E";
when x"00BE" => D <= x"FF";
when x"00BF" => D <= x"3D";
when x"00C0" => D <= x"20";
when x"00C1" => D <= x"FD";
when x"00C2" => D <= x"F1";
when x"00C3" => D <= x"3D";
when x"00C4" => D <= x"20";
when x"00C5" => D <= x"F6";
when x"00C6" => D <= x"C9";
when x"00C7" => D <= x"21";
when x"00C8" => D <= x"00";
when x"00C9" => D <= x"20";
when x"00CA" => D <= x"11";
when x"00CB" => D <= x"B0";
when x"00CC" => D <= x"04";
when x"00CD" => D <= x"3E";
when x"00CE" => D <= x"20";
when x"00CF" => D <= x"77";
when x"00D0" => D <= x"23";
when x"00D1" => D <= x"1B";
when x"00D2" => D <= x"7A";
when x"00D3" => D <= x"B3";
when x"00D4" => D <= x"20";
when x"00D5" => D <= x"F7";
when x"00D6" => D <= x"C9";
when x"00D7" => D <= x"1A";
when x"00D8" => D <= x"B7";
when x"00D9" => D <= x"C8";
when x"00DA" => D <= x"77";
when x"00DB" => D <= x"23";
when x"00DC" => D <= x"13";
when x"00DD" => D <= x"18";
when x"00DE" => D <= x"F8";
when x"00DF" => D <= x"CD";
when x"00E0" => D <= x"B9";
when x"00E1" => D <= x"00";
when x"00E2" => D <= x"DB";
when x"00E3" => D <= x"80";
when x"00E4" => D <= x"B7";
when x"00E5" => D <= x"28";
when x"00E6" => D <= x"F8";
when x"00E7" => D <= x"C9";
when x"00E8" => D <= x"11";
when x"00E9" => D <= x"BD";
when x"00EA" => D <= x"01";
when x"00EB" => D <= x"21";
when x"00EC" => D <= x"F5";
when x"00ED" => D <= x"20";
when x"00EE" => D <= x"CD";
when x"00EF" => D <= x"D7";
when x"00F0" => D <= x"00";
when x"00F1" => D <= x"21";
when x"00F2" => D <= x"1D";
when x"00F3" => D <= x"21";
when x"00F4" => D <= x"3E";
when x"00F5" => D <= x"0A";
when x"00F6" => D <= x"11";
when x"00F7" => D <= x"FF";
when x"00F8" => D <= x"01";
when x"00F9" => D <= x"F5";
when x"00FA" => D <= x"E5";
when x"00FB" => D <= x"CD";
when x"00FC" => D <= x"D7";
when x"00FD" => D <= x"00";
when x"00FE" => D <= x"E1";
when x"00FF" => D <= x"F1";
when x"0100" => D <= x"01";
when x"0101" => D <= x"28";
when x"0102" => D <= x"00";
when x"0103" => D <= x"09";
when x"0104" => D <= x"3D";
when x"0105" => D <= x"20";
when x"0106" => D <= x"EF";
when x"0107" => D <= x"11";
when x"0108" => D <= x"DE";
when x"0109" => D <= x"01";
when x"010A" => D <= x"CD";
when x"010B" => D <= x"D7";
when x"010C" => D <= x"00";
when x"010D" => D <= x"C9";
when x"010E" => D <= x"5A";
when x"010F" => D <= x"38";
when x"0110" => D <= x"30";
when x"0111" => D <= x"20";
when x"0112" => D <= x"53";
when x"0113" => D <= x"59";
when x"0114" => D <= x"53";
when x"0115" => D <= x"54";
when x"0116" => D <= x"45";
when x"0117" => D <= x"4D";
when x"0118" => D <= x"20";
when x"0119" => D <= x"4F";
when x"011A" => D <= x"4E";
when x"011B" => D <= x"20";
when x"011C" => D <= x"43";
when x"011D" => D <= x"48";
when x"011E" => D <= x"49";
when x"011F" => D <= x"50";
when x"0120" => D <= x"00";
when x"0121" => D <= x"52";
when x"0122" => D <= x"4F";
when x"0123" => D <= x"4E";
when x"0124" => D <= x"49";
when x"0125" => D <= x"56";
when x"0126" => D <= x"4F";
when x"0127" => D <= x"4E";
when x"0128" => D <= x"20";
when x"0129" => D <= x"43";
when x"012A" => D <= x"4F";
when x"012B" => D <= x"53";
when x"012C" => D <= x"54";
when x"012D" => D <= x"41";
when x"012E" => D <= x"20";
when x"012F" => D <= x"32";
when x"0130" => D <= x"30";
when x"0131" => D <= x"30";
when x"0132" => D <= x"38";
when x"0133" => D <= x"00";
when x"0134" => D <= x"20";
when x"0135" => D <= x"20";
when x"0136" => D <= x"7C";
when x"0137" => D <= x"21";
when x"0138" => D <= x"23";
when x"0139" => D <= x"24";
when x"013A" => D <= x"25";
when x"013B" => D <= x"26";
when x"013C" => D <= x"2F";
when x"013D" => D <= x"28";
when x"013E" => D <= x"29";
when x"013F" => D <= x"3D";
when x"0140" => D <= x"3F";
when x"0141" => D <= x"2A";
when x"0142" => D <= x"60";
when x"0143" => D <= x"2B";
when x"0144" => D <= x"B4";
when x"0145" => D <= x"E7";
when x"0146" => D <= x"7E";
when x"0147" => D <= x"5E";
when x"0148" => D <= x"2C";
when x"0149" => D <= x"2E";
when x"014A" => D <= x"3B";
when x"014B" => D <= x"3A";
when x"014C" => D <= x"5C";
when x"014D" => D <= x"3C";
when x"014E" => D <= x"3E";
when x"014F" => D <= x"00";
when x"0150" => D <= x"20";
when x"0151" => D <= x"20";
when x"0152" => D <= x"41";
when x"0153" => D <= x"42";
when x"0154" => D <= x"43";
when x"0155" => D <= x"44";
when x"0156" => D <= x"45";
when x"0157" => D <= x"46";
when x"0158" => D <= x"47";
when x"0159" => D <= x"48";
when x"015A" => D <= x"49";
when x"015B" => D <= x"4A";
when x"015C" => D <= x"4B";
when x"015D" => D <= x"4C";
when x"015E" => D <= x"4D";
when x"015F" => D <= x"4E";
when x"0160" => D <= x"4F";
when x"0161" => D <= x"50";
when x"0162" => D <= x"51";
when x"0163" => D <= x"52";
when x"0164" => D <= x"53";
when x"0165" => D <= x"54";
when x"0166" => D <= x"55";
when x"0167" => D <= x"56";
when x"0168" => D <= x"57";
when x"0169" => D <= x"58";
when x"016A" => D <= x"59";
when x"016B" => D <= x"5A";
when x"016C" => D <= x"00";
when x"016D" => D <= x"20";
when x"016E" => D <= x"20";
when x"016F" => D <= x"61";
when x"0170" => D <= x"62";
when x"0171" => D <= x"63";
when x"0172" => D <= x"64";
when x"0173" => D <= x"65";
when x"0174" => D <= x"66";
when x"0175" => D <= x"67";
when x"0176" => D <= x"68";
when x"0177" => D <= x"69";
when x"0178" => D <= x"6A";
when x"0179" => D <= x"6B";
when x"017A" => D <= x"6C";
when x"017B" => D <= x"6D";
when x"017C" => D <= x"6E";
when x"017D" => D <= x"6F";
when x"017E" => D <= x"70";
when x"017F" => D <= x"71";
when x"0180" => D <= x"72";
when x"0181" => D <= x"73";
when x"0182" => D <= x"74";
when x"0183" => D <= x"75";
when x"0184" => D <= x"76";
when x"0185" => D <= x"77";
when x"0186" => D <= x"78";
when x"0187" => D <= x"79";
when x"0188" => D <= x"7A";
when x"0189" => D <= x"00";
when x"018A" => D <= x"20";
when x"018B" => D <= x"20";
when x"018C" => D <= x"20";
when x"018D" => D <= x"20";
when x"018E" => D <= x"20";
when x"018F" => D <= x"20";
when x"0190" => D <= x"20";
when x"0191" => D <= x"20";
when x"0192" => D <= x"20";
when x"0193" => D <= x"30";
when x"0194" => D <= x"31";
when x"0195" => D <= x"32";
when x"0196" => D <= x"33";
when x"0197" => D <= x"34";
when x"0198" => D <= x"35";
when x"0199" => D <= x"36";
when x"019A" => D <= x"37";
when x"019B" => D <= x"38";
when x"019C" => D <= x"39";
when x"019D" => D <= x"00";
when x"019E" => D <= x"02";
when x"019F" => D <= x"03";
when x"01A0" => D <= x"04";
when x"01A1" => D <= x"0B";
when x"01A2" => D <= x"0C";
when x"01A3" => D <= x"0D";
when x"01A4" => D <= x"0E";
when x"01A5" => D <= x"12";
when x"01A6" => D <= x"18";
when x"01A7" => D <= x"19";
when x"01A8" => D <= x"1A";
when x"01A9" => D <= x"1B";
when x"01AA" => D <= x"E8";
when x"01AB" => D <= x"E9";
when x"01AC" => D <= x"EB";
when x"01AD" => D <= x"BB";
when x"01AE" => D <= x"BC";
when x"01AF" => D <= x"8A";
when x"01B0" => D <= x"86";
when x"01B1" => D <= x"87";
when x"01B2" => D <= x"81";
when x"01B3" => D <= x"80";
when x"01B4" => D <= x"01";
when x"01B5" => D <= x"06";
when x"01B6" => D <= x"07";
when x"01B7" => D <= x"08";
when x"01B8" => D <= x"09";
when x"01B9" => D <= x"0A";
when x"01BA" => D <= x"1D";
when x"01BB" => D <= x"1F";
when x"01BC" => D <= x"00";
when x"01BD" => D <= x"C9";
when x"01BE" => D <= x"CD";
when x"01BF" => D <= x"CD";
when x"01C0" => D <= x"CD";
when x"01C1" => D <= x"CD";
when x"01C2" => D <= x"CD";
when x"01C3" => D <= x"CD";
when x"01C4" => D <= x"CD";
when x"01C5" => D <= x"CD";
when x"01C6" => D <= x"CD";
when x"01C7" => D <= x"CD";
when x"01C8" => D <= x"CD";
when x"01C9" => D <= x"CD";
when x"01CA" => D <= x"CD";
when x"01CB" => D <= x"CD";
when x"01CC" => D <= x"CD";
when x"01CD" => D <= x"CD";
when x"01CE" => D <= x"CD";
when x"01CF" => D <= x"CD";
when x"01D0" => D <= x"CD";
when x"01D1" => D <= x"CD";
when x"01D2" => D <= x"CD";
when x"01D3" => D <= x"CD";
when x"01D4" => D <= x"CD";
when x"01D5" => D <= x"CD";
when x"01D6" => D <= x"CD";
when x"01D7" => D <= x"CD";
when x"01D8" => D <= x"CD";
when x"01D9" => D <= x"CD";
when x"01DA" => D <= x"CD";
when x"01DB" => D <= x"CD";
when x"01DC" => D <= x"BB";
when x"01DD" => D <= x"00";
when x"01DE" => D <= x"C8";
when x"01DF" => D <= x"CD";
when x"01E0" => D <= x"CD";
when x"01E1" => D <= x"CD";
when x"01E2" => D <= x"CD";
when x"01E3" => D <= x"CD";
when x"01E4" => D <= x"CD";
when x"01E5" => D <= x"CD";
when x"01E6" => D <= x"CD";
when x"01E7" => D <= x"CD";
when x"01E8" => D <= x"CD";
when x"01E9" => D <= x"CD";
when x"01EA" => D <= x"CD";
when x"01EB" => D <= x"CD";
when x"01EC" => D <= x"CD";
when x"01ED" => D <= x"CD";
when x"01EE" => D <= x"CD";
when x"01EF" => D <= x"CD";
when x"01F0" => D <= x"CD";
when x"01F1" => D <= x"CD";
when x"01F2" => D <= x"CD";
when x"01F3" => D <= x"CD";
when x"01F4" => D <= x"CD";
when x"01F5" => D <= x"CD";
when x"01F6" => D <= x"CD";
when x"01F7" => D <= x"CD";
when x"01F8" => D <= x"CD";
when x"01F9" => D <= x"CD";
when x"01FA" => D <= x"CD";
when x"01FB" => D <= x"CD";
when x"01FC" => D <= x"CD";
when x"01FD" => D <= x"BC";
when x"01FE" => D <= x"00";
when x"01FF" => D <= x"BA";
when x"0200" => D <= x"20";
when x"0201" => D <= x"20";
when x"0202" => D <= x"20";
when x"0203" => D <= x"20";
when x"0204" => D <= x"20";
when x"0205" => D <= x"20";
when x"0206" => D <= x"20";
when x"0207" => D <= x"20";
when x"0208" => D <= x"20";
when x"0209" => D <= x"20";
when x"020A" => D <= x"20";
when x"020B" => D <= x"20";
when x"020C" => D <= x"20";
when x"020D" => D <= x"20";
when x"020E" => D <= x"20";
when x"020F" => D <= x"20";
when x"0210" => D <= x"20";
when x"0211" => D <= x"20";
when x"0212" => D <= x"20";
when x"0213" => D <= x"20";
when x"0214" => D <= x"20";
when x"0215" => D <= x"20";
when x"0216" => D <= x"20";
when x"0217" => D <= x"20";
when x"0218" => D <= x"20";
when x"0219" => D <= x"20";
when x"021A" => D <= x"20";
when x"021B" => D <= x"20";
when x"021C" => D <= x"20";
when x"021D" => D <= x"20";
when x"021E" => D <= x"BA";
when x"021F" => D <= x"00";
when others => D <= x"00";
end case;
end if;
end process;
end;
/CHARROM.MIF
0,0 → 1,2323
%------------------------------------------------------------------%
% File generate automatically by: %
% %
% psf2mif.sh %
% %
% Convert fonts from PSF format to MIF format %
% %
% by: %
% %
% Ronivon C. Costa %
% 2008/04/17 %
% %
%------------------------------------------------------------------%
Depth = 2048;
Width = 8;
Address_radix = hex;
Data_radix = bin;
Content
Begin
0000 : 00000000 ; % %
0001 : 00000000 ; % %
0002 : 00000000 ; % %
0003 : 00000000 ; % %
0004 : 00000000 ; % %
0005 : 00000000 ; % %
0006 : 00000000 ; % %
0007 : 00000000 ; % %
 
0008 : 00111111 ; % ****** %
0009 : 01000000 ; % * * %
000A : 01010010 ; % * * * * %
000B : 01000000 ; % * * %
000C : 01011110 ; % * **** * %
000D : 01001100 ; % * ** * %
000E : 01000000 ; % * * %
000F : 00111111 ; % ****** %
 
0010 : 00111111 ; % ****** %
0011 : 01111111 ; % ******** %
0012 : 01101101 ; % ** ** ** %
0013 : 01111111 ; % ******** %
0014 : 01100001 ; % ** ** %
0015 : 01110011 ; % *** *** %
0016 : 01111111 ; % ******** %
0017 : 00111111 ; % ****** %
 
0018 : 00110110 ; % ** ** %
0019 : 01111111 ; % ******* %
001A : 01111111 ; % ******* %
001B : 01111111 ; % ******* %
001C : 00111110 ; % ***** %
001D : 00011100 ; % *** %
001E : 00001000 ; % * %
001F : 00000000 ; % %
 
0020 : 00001000 ; % * %
0021 : 00011100 ; % *** %
0022 : 00111110 ; % ***** %
0023 : 01111111 ; % ******* %
0024 : 00111110 ; % ***** %
0025 : 00011100 ; % *** %
0026 : 00001000 ; % * %
0027 : 00000000 ; % %
 
0028 : 00001100 ; % ** %
0029 : 00011110 ; % **** %
002A : 00011110 ; % **** %
002B : 01110011 ; % *** *** %
002C : 01110011 ; % *** *** %
002D : 00001100 ; % ** %
002E : 00011110 ; % **** %
002F : 00000000 ; % %
 
0030 : 00001000 ; % * %
0031 : 00011100 ; % *** %
0032 : 00111110 ; % ***** %
0033 : 01111111 ; % ******* %
0034 : 00111110 ; % ***** %
0035 : 00001000 ; % * %
0036 : 00011100 ; % *** %
0037 : 00000000 ; % %
 
0038 : 00000000 ; % %
0039 : 00000000 ; % %
003A : 00001100 ; % ** %
003B : 00011110 ; % **** %
003C : 00001100 ; % ** %
003D : 00000000 ; % %
003E : 00000000 ; % %
003F : 00000000 ; % %
 
0040 : 01111111 ; % ******** %
0041 : 01111111 ; % ******** %
0042 : 01110011 ; % *** *** %
0043 : 01100001 ; % ** ** %
0044 : 01110011 ; % *** *** %
0045 : 01111111 ; % ******** %
0046 : 01111111 ; % ******** %
0047 : 01111111 ; % ******** %
 
0048 : 00000000 ; % %
0049 : 00000000 ; % %
004A : 00001100 ; % ** %
004B : 00010010 ; % * * %
004C : 00001100 ; % ** %
004D : 00000000 ; % %
004E : 00000000 ; % %
004F : 00000000 ; % %
 
0050 : 01111111 ; % ******** %
0051 : 01111111 ; % ******** %
0052 : 01110011 ; % *** *** %
0053 : 01101101 ; % ** ** ** %
0054 : 01110011 ; % *** *** %
0055 : 01111111 ; % ******** %
0056 : 01111111 ; % ******** %
0057 : 01111111 ; % ******** %
 
0058 : 00001110 ; % *** %
0059 : 00000110 ; % ** %
005A : 00001000 ; % * %
005B : 00111110 ; % ***** %
005C : 01000001 ; % * * %
005D : 01000001 ; % * * %
005E : 00111110 ; % ***** %
005F : 00000000 ; % %
 
0060 : 00111110 ; % ***** %
0061 : 01000001 ; % * * %
0062 : 01000001 ; % * * %
0063 : 00111110 ; % ***** %
0064 : 00001000 ; % * %
0065 : 00011100 ; % *** %
0066 : 00001000 ; % * %
0067 : 00000000 ; % %
 
0068 : 00000000 ; % %
0069 : 00001111 ; % ***** %
006A : 00001111 ; % **** %
006B : 00001000 ; % * %
006C : 00001000 ; % * %
006D : 01111000 ; % **** %
006E : 01110000 ; % *** %
006F : 00000000 ; % %
 
0070 : 00000000 ; % %
0071 : 00011111 ; % ***** %
0072 : 00011111 ; % ***** %
0073 : 00010001 ; % * * %
0074 : 00010111 ; % * *** %
0075 : 01110110 ; % *** ** %
0076 : 01100000 ; % ** %
0077 : 00000000 ; % %
 
0078 : 00001000 ; % * %
0079 : 00101010 ; % * * * %
007A : 00011100 ; % *** %
007B : 00110110 ; % ** ** %
007C : 00011100 ; % *** %
007D : 00101010 ; % * * * %
007E : 00001000 ; % * %
007F : 00000000 ; % %
 
0080 : 00000000 ; % %
0081 : 00100000 ; % * %
0082 : 00111000 ; % *** %
0083 : 00111110 ; % ***** %
0084 : 00111000 ; % *** %
0085 : 00100000 ; % * %
0086 : 00000000 ; % %
0087 : 00000000 ; % %
 
0088 : 00000000 ; % %
0089 : 00000010 ; % * %
008A : 00001110 ; % *** %
008B : 00111110 ; % ***** %
008C : 00001110 ; % *** %
008D : 00000010 ; % * %
008E : 00000000 ; % %
008F : 00000000 ; % %
 
0090 : 00001100 ; % ** %
0091 : 00011110 ; % **** %
0092 : 00111111 ; % ****** %
0093 : 00001100 ; % ** %
0094 : 00001100 ; % ** %
0095 : 00111111 ; % ****** %
0096 : 00011110 ; % **** %
0097 : 00001100 ; % ** %
 
0098 : 00010100 ; % * * %
0099 : 00010100 ; % * * %
009A : 00010100 ; % * * %
009B : 00010100 ; % * * %
009C : 00010100 ; % * * %
009D : 00000000 ; % %
009E : 00010100 ; % * * %
009F : 00000000 ; % %
 
00A0 : 00111111 ; % ******* %
00A1 : 01101101 ; % ** ** ** %
00A2 : 01101101 ; % ** ** ** %
00A3 : 00101101 ; % * ** ** %
00A4 : 00001101 ; % ** ** %
00A5 : 00001101 ; % ** ** %
00A6 : 00001101 ; % ** ** %
00A7 : 00001101 ; % ** ** %
 
00A8 : 00011110 ; % **** %
00A9 : 00100001 ; % * * %
00AA : 00111100 ; % **** %
00AB : 00010010 ; % * * %
00AC : 00010010 ; % * * %
00AD : 00001111 ; % **** %
00AE : 00100001 ; % * * %
00AF : 00011110 ; % **** %
 
00B0 : 00000000 ; % %
00B1 : 00000000 ; % %
00B2 : 00000000 ; % %
00B3 : 00000000 ; % %
00B4 : 01111111 ; % ******* %
00B5 : 01111111 ; % ******* %
00B6 : 01111111 ; % ******* %
00B7 : 00000000 ; % %
 
00B8 : 00001100 ; % ** %
00B9 : 00011110 ; % **** %
00BA : 00111111 ; % ****** %
00BB : 00001100 ; % ** %
00BC : 00111111 ; % ****** %
00BD : 00011110 ; % **** %
00BE : 00001100 ; % ** %
00BF : 00111111 ; % ****** %
 
00C0 : 00001100 ; % ** %
00C1 : 00011110 ; % **** %
00C2 : 00111111 ; % ****** %
00C3 : 00001100 ; % ** %
00C4 : 00001100 ; % ** %
00C5 : 00001100 ; % ** %
00C6 : 00001100 ; % ** %
00C7 : 00000000 ; % %
 
00C8 : 00000000 ; % %
00C9 : 00001100 ; % ** %
00CA : 00001100 ; % ** %
00CB : 00001100 ; % ** %
00CC : 00001100 ; % ** %
00CD : 00111111 ; % ****** %
00CE : 00011110 ; % **** %
00CF : 00001100 ; % ** %
 
00D0 : 00000000 ; % %
00D1 : 00001100 ; % ** %
00D2 : 00000110 ; % ** %
00D3 : 01111111 ; % ******* %
00D4 : 00000110 ; % ** %
00D5 : 00001100 ; % ** %
00D6 : 00000000 ; % %
00D7 : 00000000 ; % %
 
00D8 : 00000000 ; % %
00D9 : 00011000 ; % ** %
00DA : 00110000 ; % ** %
00DB : 01111111 ; % ******* %
00DC : 00110000 ; % ** %
00DD : 00011000 ; % ** %
00DE : 00000000 ; % %
00DF : 00000000 ; % %
 
00E0 : 00000000 ; % %
00E1 : 00000000 ; % %
00E2 : 01100000 ; % ** %
00E3 : 01100000 ; % ** %
00E4 : 01100000 ; % ** %
00E5 : 01111111 ; % ******* %
00E6 : 00000000 ; % %
00E7 : 00000000 ; % %
 
00E8 : 00000000 ; % %
00E9 : 00010010 ; % * * %
00EA : 00110011 ; % ** ** %
00EB : 01111111 ; % ******** %
00EC : 00110011 ; % ** ** %
00ED : 00010010 ; % * * %
00EE : 00000000 ; % %
00EF : 00000000 ; % %
 
00F0 : 00000000 ; % %
00F1 : 00001100 ; % ** %
00F2 : 00001100 ; % ** %
00F3 : 00011110 ; % **** %
00F4 : 00011110 ; % **** %
00F5 : 00111111 ; % ****** %
00F6 : 00111111 ; % ****** %
00F7 : 00000000 ; % %
 
00F8 : 00000000 ; % %
00F9 : 00111111 ; % ****** %
00FA : 00111111 ; % ****** %
00FB : 00011110 ; % **** %
00FC : 00011110 ; % **** %
00FD : 00001100 ; % ** %
00FE : 00001100 ; % ** %
00FF : 00000000 ; % %
 
0100 : 00000000 ; % %
0101 : 00000000 ; % %
0102 : 00000000 ; % %
0103 : 00000000 ; % %
0104 : 00000000 ; % %
0105 : 00000000 ; % %
0106 : 00000000 ; % %
0107 : 00000000 ; % %
 
0108 : 00001100 ; % ** %
0109 : 00011110 ; % **** %
010A : 00011110 ; % **** %
010B : 00001100 ; % ** %
010C : 00001100 ; % ** %
010D : 00000000 ; % %
010E : 00001100 ; % ** %
010F : 00000000 ; % %
 
0110 : 00110110 ; % ** ** %
0111 : 00110110 ; % ** ** %
0112 : 00110110 ; % ** ** %
0113 : 00000000 ; % %
0114 : 00000000 ; % %
0115 : 00000000 ; % %
0116 : 00000000 ; % %
0117 : 00000000 ; % %
 
0118 : 00100010 ; % * * %
0119 : 00100010 ; % * * %
011A : 01111111 ; % ******* %
011B : 00100010 ; % * * %
011C : 01111111 ; % ******* %
011D : 00100010 ; % * * %
011E : 00100010 ; % * * %
011F : 00000000 ; % %
 
0120 : 00011000 ; % ** %
0121 : 00111110 ; % ***** %
0122 : 01100000 ; % ** %
0123 : 00111100 ; % **** %
0124 : 00000110 ; % ** %
0125 : 01111100 ; % ***** %
0126 : 00011000 ; % ** %
0127 : 00000000 ; % %
 
0128 : 00000000 ; % %
0129 : 01100011 ; % ** ** %
012A : 01100110 ; % ** ** %
012B : 00001100 ; % ** %
012C : 00011000 ; % ** %
012D : 00110011 ; % ** ** %
012E : 01100011 ; % ** ** %
012F : 00000000 ; % %
 
0130 : 00011100 ; % *** %
0131 : 00110110 ; % ** ** %
0132 : 00011100 ; % *** %
0133 : 00111011 ; % *** ** %
0134 : 01101110 ; % ** *** %
0135 : 01100110 ; % ** ** %
0136 : 00111011 ; % *** ** %
0137 : 00000000 ; % %
 
0138 : 00111000 ; % *** %
0139 : 00011000 ; % ** %
013A : 00110000 ; % ** %
013B : 00000000 ; % %
013C : 00000000 ; % %
013D : 00000000 ; % %
013E : 00000000 ; % %
013F : 00000000 ; % %
 
0140 : 00001100 ; % ** %
0141 : 00011000 ; % ** %
0142 : 00110000 ; % ** %
0143 : 00110000 ; % ** %
0144 : 00110000 ; % ** %
0145 : 00011000 ; % ** %
0146 : 00001100 ; % ** %
0147 : 00000000 ; % %
 
0148 : 00110000 ; % ** %
0149 : 00011000 ; % ** %
014A : 00001100 ; % ** %
014B : 00001100 ; % ** %
014C : 00001100 ; % ** %
014D : 00011000 ; % ** %
014E : 00110000 ; % ** %
014F : 00000000 ; % %
 
0150 : 00000000 ; % %
0151 : 01100110 ; % ** ** %
0152 : 00111100 ; % **** %
0153 : 01111111 ; % ******* %
0154 : 00111100 ; % **** %
0155 : 01100110 ; % ** ** %
0156 : 00000000 ; % %
0157 : 00000000 ; % %
 
0158 : 00000000 ; % %
0159 : 00011000 ; % ** %
015A : 00011000 ; % ** %
015B : 01111110 ; % ****** %
015C : 00011000 ; % ** %
015D : 00011000 ; % ** %
015E : 00000000 ; % %
015F : 00000000 ; % %
 
0160 : 00000000 ; % %
0161 : 00000000 ; % %
0162 : 00000000 ; % %
0163 : 00000000 ; % %
0164 : 00000000 ; % %
0165 : 00111000 ; % *** %
0166 : 00011000 ; % ** %
0167 : 00110000 ; % ** %
 
0168 : 00000000 ; % %
0169 : 00000000 ; % %
016A : 00000000 ; % %
016B : 01111110 ; % ****** %
016C : 00000000 ; % %
016D : 00000000 ; % %
016E : 00000000 ; % %
016F : 00000000 ; % %
 
0170 : 00000000 ; % %
0171 : 00000000 ; % %
0172 : 00000000 ; % %
0173 : 00000000 ; % %
0174 : 00000000 ; % %
0175 : 00011000 ; % ** %
0176 : 00011000 ; % ** %
0177 : 00000000 ; % %
 
0178 : 00000011 ; % ** %
0179 : 00000110 ; % ** %
017A : 00001100 ; % ** %
017B : 00011000 ; % ** %
017C : 00110000 ; % ** %
017D : 01100000 ; % ** %
017E : 01000000 ; % * %
017F : 00000000 ; % %
 
0180 : 00111110 ; % ***** %
0181 : 01100011 ; % ** ** %
0182 : 01100111 ; % ** *** %
0183 : 01101011 ; % ** * ** %
0184 : 01110011 ; % *** ** %
0185 : 01100011 ; % ** ** %
0186 : 00111110 ; % ***** %
0187 : 00000000 ; % %
 
0188 : 00011000 ; % ** %
0189 : 00111000 ; % *** %
018A : 00011000 ; % ** %
018B : 00011000 ; % ** %
018C : 00011000 ; % ** %
018D : 00011000 ; % ** %
018E : 01111110 ; % ****** %
018F : 00000000 ; % %
 
0190 : 00111100 ; % **** %
0191 : 01100110 ; % ** ** %
0192 : 00000110 ; % ** %
0193 : 00011100 ; % *** %
0194 : 00110000 ; % ** %
0195 : 01100000 ; % ** %
0196 : 01111110 ; % ****** %
0197 : 00000000 ; % %
 
0198 : 00111100 ; % **** %
0199 : 01100110 ; % ** ** %
019A : 00000110 ; % ** %
019B : 00011100 ; % *** %
019C : 00000110 ; % ** %
019D : 01100110 ; % ** ** %
019E : 00111100 ; % **** %
019F : 00000000 ; % %
 
01A0 : 00001110 ; % *** %
01A1 : 00011010 ; % ** * %
01A2 : 00110110 ; % ** ** %
01A3 : 01100110 ; % ** ** %
01A4 : 01111111 ; % ******* %
01A5 : 00000110 ; % ** %
01A6 : 00000110 ; % ** %
01A7 : 00000000 ; % %
 
01A8 : 01111110 ; % ****** %
01A9 : 01100000 ; % ** %
01AA : 01111100 ; % ***** %
01AB : 00000110 ; % ** %
01AC : 00000110 ; % ** %
01AD : 01100110 ; % ** ** %
01AE : 00111100 ; % **** %
01AF : 00000000 ; % %
 
01B0 : 00011100 ; % *** %
01B1 : 00110000 ; % ** %
01B2 : 01100000 ; % ** %
01B3 : 01111100 ; % ***** %
01B4 : 01100110 ; % ** ** %
01B5 : 01100110 ; % ** ** %
01B6 : 00111100 ; % **** %
01B7 : 00000000 ; % %
 
01B8 : 01111110 ; % ****** %
01B9 : 00000110 ; % ** %
01BA : 00000110 ; % ** %
01BB : 00001100 ; % ** %
01BC : 00011000 ; % ** %
01BD : 00110000 ; % ** %
01BE : 00110000 ; % ** %
01BF : 00000000 ; % %
 
01C0 : 00111100 ; % **** %
01C1 : 01100110 ; % ** ** %
01C2 : 01100110 ; % ** ** %
01C3 : 00111100 ; % **** %
01C4 : 01100110 ; % ** ** %
01C5 : 01100110 ; % ** ** %
01C6 : 00111100 ; % **** %
01C7 : 00000000 ; % %
 
01C8 : 00111100 ; % **** %
01C9 : 01100110 ; % ** ** %
01CA : 01100110 ; % ** ** %
01CB : 00111110 ; % ***** %
01CC : 00000110 ; % ** %
01CD : 00001100 ; % ** %
01CE : 00111000 ; % *** %
01CF : 00000000 ; % %
 
01D0 : 00000000 ; % %
01D1 : 00000000 ; % %
01D2 : 00011000 ; % ** %
01D3 : 00011000 ; % ** %
01D4 : 00000000 ; % %
01D5 : 00011000 ; % ** %
01D6 : 00011000 ; % ** %
01D7 : 00000000 ; % %
 
01D8 : 00000000 ; % %
01D9 : 00000000 ; % %
01DA : 00011000 ; % ** %
01DB : 00011000 ; % ** %
01DC : 00000000 ; % %
01DD : 00111000 ; % *** %
01DE : 00011000 ; % ** %
01DF : 00110000 ; % ** %
 
01E0 : 00001100 ; % ** %
01E1 : 00011000 ; % ** %
01E2 : 00110000 ; % ** %
01E3 : 01100000 ; % ** %
01E4 : 00110000 ; % ** %
01E5 : 00011000 ; % ** %
01E6 : 00001100 ; % ** %
01E7 : 00000000 ; % %
 
01E8 : 00000000 ; % %
01E9 : 00000000 ; % %
01EA : 01111110 ; % ****** %
01EB : 00000000 ; % %
01EC : 01111110 ; % ****** %
01ED : 00000000 ; % %
01EE : 00000000 ; % %
01EF : 00000000 ; % %
 
01F0 : 00110000 ; % ** %
01F1 : 00011000 ; % ** %
01F2 : 00001100 ; % ** %
01F3 : 00000110 ; % ** %
01F4 : 00001100 ; % ** %
01F5 : 00011000 ; % ** %
01F6 : 00110000 ; % ** %
01F7 : 00000000 ; % %
 
01F8 : 00111100 ; % **** %
01F9 : 01100110 ; % ** ** %
01FA : 00000110 ; % ** %
01FB : 00001100 ; % ** %
01FC : 00011000 ; % ** %
01FD : 00000000 ; % %
01FE : 00011000 ; % ** %
01FF : 00000000 ; % %
 
0200 : 00111110 ; % ***** %
0201 : 01000001 ; % * * %
0202 : 01001111 ; % * **** %
0203 : 01011011 ; % * ** ** %
0204 : 01001111 ; % * **** %
0205 : 01000000 ; % * %
0206 : 00111100 ; % **** %
0207 : 00000000 ; % %
 
0208 : 00011000 ; % ** %
0209 : 00111100 ; % **** %
020A : 01100110 ; % ** ** %
020B : 01100110 ; % ** ** %
020C : 01111110 ; % ****** %
020D : 01100110 ; % ** ** %
020E : 01100110 ; % ** ** %
020F : 00000000 ; % %
 
0210 : 01111110 ; % ****** %
0211 : 00110011 ; % ** ** %
0212 : 00110011 ; % ** ** %
0213 : 00111110 ; % ***** %
0214 : 00110011 ; % ** ** %
0215 : 00110011 ; % ** ** %
0216 : 01111110 ; % ****** %
0217 : 00000000 ; % %
 
0218 : 00011110 ; % **** %
0219 : 00110011 ; % ** ** %
021A : 01100000 ; % ** %
021B : 01100000 ; % ** %
021C : 01100000 ; % ** %
021D : 00110011 ; % ** ** %
021E : 00011110 ; % **** %
021F : 00000000 ; % %
 
0220 : 01111100 ; % ***** %
0221 : 00110110 ; % ** ** %
0222 : 00110011 ; % ** ** %
0223 : 00110011 ; % ** ** %
0224 : 00110011 ; % ** ** %
0225 : 00110110 ; % ** ** %
0226 : 01111100 ; % ***** %
0227 : 00000000 ; % %
 
0228 : 01111111 ; % ******* %
0229 : 01100001 ; % ** * %
022A : 01100100 ; % ** * %
022B : 01111100 ; % ***** %
022C : 01100100 ; % ** * %
022D : 01100001 ; % ** * %
022E : 01111111 ; % ******* %
022F : 00000000 ; % %
 
0230 : 01111111 ; % ******* %
0231 : 01100001 ; % ** * %
0232 : 01100100 ; % ** * %
0233 : 01111100 ; % ***** %
0234 : 01100100 ; % ** * %
0235 : 01100000 ; % ** %
0236 : 01100000 ; % ** %
0237 : 00000000 ; % %
 
0238 : 00011110 ; % **** %
0239 : 00110011 ; % ** ** %
023A : 01100000 ; % ** %
023B : 01100000 ; % ** %
023C : 01100111 ; % ** *** %
023D : 00110011 ; % ** ** %
023E : 00011111 ; % ***** %
023F : 00000000 ; % %
 
0240 : 01100011 ; % ** ** %
0241 : 01100011 ; % ** ** %
0242 : 01100011 ; % ** ** %
0243 : 01111111 ; % ******* %
0244 : 01100011 ; % ** ** %
0245 : 01100011 ; % ** ** %
0246 : 01100011 ; % ** ** %
0247 : 00000000 ; % %
 
0248 : 00011110 ; % **** %
0249 : 00001100 ; % ** %
024A : 00001100 ; % ** %
024B : 00001100 ; % ** %
024C : 00001100 ; % ** %
024D : 00001100 ; % ** %
024E : 00011110 ; % **** %
024F : 00000000 ; % %
 
0250 : 00000111 ; % *** %
0251 : 00000011 ; % ** %
0252 : 00000011 ; % ** %
0253 : 00000011 ; % ** %
0254 : 00110011 ; % ** ** %
0255 : 00110011 ; % ** ** %
0256 : 00011110 ; % **** %
0257 : 00000000 ; % %
 
0258 : 01100011 ; % ** ** %
0259 : 01100110 ; % ** ** %
025A : 01101100 ; % ** ** %
025B : 01111000 ; % **** %
025C : 01101100 ; % ** ** %
025D : 01100110 ; % ** ** %
025E : 01100011 ; % ** ** %
025F : 00000000 ; % %
 
0260 : 01111000 ; % **** %
0261 : 00110000 ; % ** %
0262 : 00110000 ; % ** %
0263 : 00110000 ; % ** %
0264 : 00110000 ; % ** %
0265 : 00110001 ; % ** * %
0266 : 01111111 ; % ******* %
0267 : 00000000 ; % %
 
0268 : 01000001 ; % * * %
0269 : 01100011 ; % ** ** %
026A : 01110111 ; % *** *** %
026B : 01101011 ; % ** * ** %
026C : 01101011 ; % ** * ** %
026D : 01100011 ; % ** ** %
026E : 01100011 ; % ** ** %
026F : 00000000 ; % %
 
0270 : 01100011 ; % ** ** %
0271 : 01110011 ; % *** ** %
0272 : 01111011 ; % **** ** %
0273 : 01101111 ; % ** **** %
0274 : 01100111 ; % ** *** %
0275 : 01100011 ; % ** ** %
0276 : 01100011 ; % ** ** %
0277 : 00000000 ; % %
 
0278 : 00011100 ; % *** %
0279 : 00110110 ; % ** ** %
027A : 01100011 ; % ** ** %
027B : 01100011 ; % ** ** %
027C : 01100011 ; % ** ** %
027D : 00110110 ; % ** ** %
027E : 00011100 ; % *** %
027F : 00000000 ; % %
 
0280 : 01111110 ; % ****** %
0281 : 00110011 ; % ** ** %
0282 : 00110011 ; % ** ** %
0283 : 00110011 ; % ** ** %
0284 : 00111110 ; % ***** %
0285 : 00110000 ; % ** %
0286 : 01111000 ; % **** %
0287 : 00000000 ; % %
 
0288 : 00011100 ; % *** %
0289 : 00110110 ; % ** ** %
028A : 01100011 ; % ** ** %
028B : 01100011 ; % ** ** %
028C : 01101011 ; % ** * ** %
028D : 00110110 ; % ** ** %
028E : 00011110 ; % **** %
028F : 00000011 ; % ** %
 
0290 : 01111100 ; % ***** %
0291 : 01100110 ; % ** ** %
0292 : 01100110 ; % ** ** %
0293 : 01111100 ; % ***** %
0294 : 01101100 ; % ** ** %
0295 : 01100110 ; % ** ** %
0296 : 01100011 ; % ** ** %
0297 : 00000000 ; % %
 
0298 : 00111110 ; % ***** %
0299 : 01100011 ; % ** ** %
029A : 01110000 ; % *** %
029B : 00011110 ; % **** %
029C : 00000011 ; % ** %
029D : 01100011 ; % ** ** %
029E : 00111110 ; % ***** %
029F : 00000000 ; % %
 
02A0 : 00111111 ; % ****** %
02A1 : 00101101 ; % * ** * %
02A2 : 00001100 ; % ** %
02A3 : 00001100 ; % ** %
02A4 : 00001100 ; % ** %
02A5 : 00001100 ; % ** %
02A6 : 00001100 ; % ** %
02A7 : 00000000 ; % %
 
02A8 : 01100011 ; % ** ** %
02A9 : 01100011 ; % ** ** %
02AA : 01100011 ; % ** ** %
02AB : 01100011 ; % ** ** %
02AC : 01100011 ; % ** ** %
02AD : 01100011 ; % ** ** %
02AE : 00111110 ; % ***** %
02AF : 00000000 ; % %
 
02B0 : 01100011 ; % ** ** %
02B1 : 01100011 ; % ** ** %
02B2 : 01100011 ; % ** ** %
02B3 : 01100011 ; % ** ** %
02B4 : 00110110 ; % ** ** %
02B5 : 00011100 ; % *** %
02B6 : 00001000 ; % * %
02B7 : 00000000 ; % %
 
02B8 : 01100011 ; % ** ** %
02B9 : 01100011 ; % ** ** %
02BA : 01100011 ; % ** ** %
02BB : 01101011 ; % ** * ** %
02BC : 01101011 ; % ** * ** %
02BD : 00110110 ; % ** ** %
02BE : 00110110 ; % ** ** %
02BF : 00000000 ; % %
 
02C0 : 01100011 ; % ** ** %
02C1 : 01100011 ; % ** ** %
02C2 : 00110110 ; % ** ** %
02C3 : 00011100 ; % *** %
02C4 : 00110110 ; % ** ** %
02C5 : 01100011 ; % ** ** %
02C6 : 01100011 ; % ** ** %
02C7 : 00000000 ; % %
 
02C8 : 00110011 ; % ** ** %
02C9 : 00110011 ; % ** ** %
02CA : 00110011 ; % ** ** %
02CB : 00011110 ; % **** %
02CC : 00001100 ; % ** %
02CD : 00001100 ; % ** %
02CE : 00001100 ; % ** %
02CF : 00000000 ; % %
 
02D0 : 01111111 ; % ******* %
02D1 : 01000110 ; % * ** %
02D2 : 00001100 ; % ** %
02D3 : 00011000 ; % ** %
02D4 : 00110000 ; % ** %
02D5 : 01100001 ; % ** * %
02D6 : 01111111 ; % ******* %
02D7 : 00000000 ; % %
 
02D8 : 00011110 ; % **** %
02D9 : 00011000 ; % ** %
02DA : 00011000 ; % ** %
02DB : 00011000 ; % ** %
02DC : 00011000 ; % ** %
02DD : 00011000 ; % ** %
02DE : 00011110 ; % **** %
02DF : 00000000 ; % %
 
02E0 : 01100000 ; % ** %
02E1 : 00110000 ; % ** %
02E2 : 00011000 ; % ** %
02E3 : 00001100 ; % ** %
02E4 : 00000110 ; % ** %
02E5 : 00000011 ; % ** %
02E6 : 00000001 ; % * %
02E7 : 00000000 ; % %
 
02E8 : 00011110 ; % **** %
02E9 : 00000110 ; % ** %
02EA : 00000110 ; % ** %
02EB : 00000110 ; % ** %
02EC : 00000110 ; % ** %
02ED : 00000110 ; % ** %
02EE : 00011110 ; % **** %
02EF : 00000000 ; % %
 
02F0 : 00001000 ; % * %
02F1 : 00011100 ; % *** %
02F2 : 00110110 ; % ** ** %
02F3 : 01100011 ; % ** ** %
02F4 : 00000000 ; % %
02F5 : 00000000 ; % %
02F6 : 00000000 ; % %
02F7 : 00000000 ; % %
 
02F8 : 00000000 ; % %
02F9 : 00000000 ; % %
02FA : 00000000 ; % %
02FB : 00000000 ; % %
02FC : 00000000 ; % %
02FD : 00000000 ; % %
02FE : 00000000 ; % %
02FF : 01111111 ; % ******* %
 
0300 : 00011100 ; % *** %
0301 : 00011000 ; % ** %
0302 : 00001100 ; % ** %
0303 : 00000000 ; % %
0304 : 00000000 ; % %
0305 : 00000000 ; % %
0306 : 00000000 ; % %
0307 : 00000000 ; % %
 
0308 : 00000000 ; % %
0309 : 00000000 ; % %
030A : 00111100 ; % **** %
030B : 00000110 ; % ** %
030C : 00111110 ; % ***** %
030D : 01100110 ; % ** ** %
030E : 00111011 ; % *** ** %
030F : 00000000 ; % %
 
0310 : 01110000 ; % *** %
0311 : 00110000 ; % ** %
0312 : 00111110 ; % ***** %
0313 : 00110011 ; % ** ** %
0314 : 00110011 ; % ** ** %
0315 : 00110011 ; % ** ** %
0316 : 01101110 ; % ** *** %
0317 : 00000000 ; % %
 
0318 : 00000000 ; % %
0319 : 00000000 ; % %
031A : 00111110 ; % ***** %
031B : 01100011 ; % ** ** %
031C : 01100000 ; % ** %
031D : 01100011 ; % ** ** %
031E : 00111110 ; % ***** %
031F : 00000000 ; % %
 
0320 : 00001110 ; % *** %
0321 : 00000110 ; % ** %
0322 : 00111110 ; % ***** %
0323 : 01100110 ; % ** ** %
0324 : 01100110 ; % ** ** %
0325 : 01100110 ; % ** ** %
0326 : 00111011 ; % *** ** %
0327 : 00000000 ; % %
 
0328 : 00000000 ; % %
0329 : 00000000 ; % %
032A : 00011110 ; % **** %
032B : 00110011 ; % ** ** %
032C : 00111111 ; % ****** %
032D : 00110000 ; % ** %
032E : 00011110 ; % **** %
032F : 00000000 ; % %
 
0330 : 00001110 ; % *** %
0331 : 00011011 ; % ** ** %
0332 : 00011000 ; % ** %
0333 : 00111111 ; % ****** %
0334 : 00011000 ; % ** %
0335 : 00011000 ; % ** %
0336 : 00011000 ; % ** %
0337 : 00000000 ; % %
 
0338 : 00000000 ; % %
0339 : 00000000 ; % %
033A : 00111011 ; % *** ** %
033B : 01100110 ; % ** ** %
033C : 01100110 ; % ** ** %
033D : 00111110 ; % ***** %
033E : 00000110 ; % ** %
033F : 01111100 ; % ***** %
 
0340 : 00110000 ; % ** %
0341 : 00110000 ; % ** %
0342 : 00111110 ; % ***** %
0343 : 00110011 ; % ** ** %
0344 : 00110011 ; % ** ** %
0345 : 00110011 ; % ** ** %
0346 : 00110011 ; % ** ** %
0347 : 00000000 ; % %
 
0348 : 00001100 ; % ** %
0349 : 00000000 ; % %
034A : 00011100 ; % *** %
034B : 00001100 ; % ** %
034C : 00001100 ; % ** %
034D : 00001100 ; % ** %
034E : 00111111 ; % ****** %
034F : 00000000 ; % %
 
0350 : 00000110 ; % ** %
0351 : 00000000 ; % %
0352 : 00011110 ; % **** %
0353 : 00000110 ; % ** %
0354 : 00000110 ; % ** %
0355 : 00000110 ; % ** %
0356 : 00110110 ; % ** ** %
0357 : 00011100 ; % *** %
 
0358 : 00110000 ; % ** %
0359 : 00110000 ; % ** %
035A : 00110011 ; % ** ** %
035B : 00110110 ; % ** ** %
035C : 00111100 ; % **** %
035D : 00110110 ; % ** ** %
035E : 00110011 ; % ** ** %
035F : 00000000 ; % %
 
0360 : 00011100 ; % *** %
0361 : 00001100 ; % ** %
0362 : 00001100 ; % ** %
0363 : 00001100 ; % ** %
0364 : 00001100 ; % ** %
0365 : 00001100 ; % ** %
0366 : 00111111 ; % ****** %
0367 : 00000000 ; % %
 
0368 : 00000000 ; % %
0369 : 00000000 ; % %
036A : 01100110 ; % ** ** %
036B : 01111111 ; % ******* %
036C : 01101011 ; % ** * ** %
036D : 01101011 ; % ** * ** %
036E : 01100011 ; % ** ** %
036F : 00000000 ; % %
 
0370 : 00000000 ; % %
0371 : 00000000 ; % %
0372 : 01101110 ; % ** *** %
0373 : 00110011 ; % ** ** %
0374 : 00110011 ; % ** ** %
0375 : 00110011 ; % ** ** %
0376 : 00110011 ; % ** ** %
0377 : 00000000 ; % %
 
0378 : 00000000 ; % %
0379 : 00000000 ; % %
037A : 00111110 ; % ***** %
037B : 01100011 ; % ** ** %
037C : 01100011 ; % ** ** %
037D : 01100011 ; % ** ** %
037E : 00111110 ; % ***** %
037F : 00000000 ; % %
 
0380 : 00000000 ; % %
0381 : 00000000 ; % %
0382 : 01101110 ; % ** *** %
0383 : 00110011 ; % ** ** %
0384 : 00110011 ; % ** ** %
0385 : 00111110 ; % ***** %
0386 : 00110000 ; % ** %
0387 : 01110000 ; % *** %
 
0388 : 00000000 ; % %
0389 : 00000000 ; % %
038A : 00111011 ; % *** ** %
038B : 01100110 ; % ** ** %
038C : 01100110 ; % ** ** %
038D : 00111110 ; % ***** %
038E : 00000110 ; % ** %
038F : 00000111 ; % *** %
 
0390 : 00000000 ; % %
0391 : 00000000 ; % %
0392 : 01101110 ; % ** *** %
0393 : 00110011 ; % ** ** %
0394 : 00110000 ; % ** %
0395 : 00110000 ; % ** %
0396 : 01111000 ; % **** %
0397 : 00000000 ; % %
 
0398 : 00000000 ; % %
0399 : 00000000 ; % %
039A : 00011111 ; % ***** %
039B : 00110000 ; % ** %
039C : 00011110 ; % **** %
039D : 00000011 ; % ** %
039E : 00111110 ; % ***** %
039F : 00000000 ; % %
 
03A0 : 00000000 ; % %
03A1 : 00011000 ; % ** %
03A2 : 00111111 ; % ****** %
03A3 : 00011000 ; % ** %
03A4 : 00011000 ; % ** %
03A5 : 00011011 ; % ** ** %
03A6 : 00001110 ; % *** %
03A7 : 00000000 ; % %
 
03A8 : 00000000 ; % %
03A9 : 00000000 ; % %
03AA : 01100110 ; % ** ** %
03AB : 01100110 ; % ** ** %
03AC : 01100110 ; % ** ** %
03AD : 01100110 ; % ** ** %
03AE : 00111011 ; % *** ** %
03AF : 00000000 ; % %
 
03B0 : 00000000 ; % %
03B1 : 00000000 ; % %
03B2 : 00110011 ; % ** ** %
03B3 : 00110011 ; % ** ** %
03B4 : 00110011 ; % ** ** %
03B5 : 00011110 ; % **** %
03B6 : 00001100 ; % ** %
03B7 : 00000000 ; % %
 
03B8 : 00000000 ; % %
03B9 : 00000000 ; % %
03BA : 01100011 ; % ** ** %
03BB : 01101011 ; % ** * ** %
03BC : 01101011 ; % ** * ** %
03BD : 00110110 ; % ** ** %
03BE : 00110110 ; % ** ** %
03BF : 00000000 ; % %
 
03C0 : 00000000 ; % %
03C1 : 00000000 ; % %
03C2 : 01100011 ; % ** ** %
03C3 : 00110110 ; % ** ** %
03C4 : 00011100 ; % *** %
03C5 : 00110110 ; % ** ** %
03C6 : 01100011 ; % ** ** %
03C7 : 00000000 ; % %
 
03C8 : 00000000 ; % %
03C9 : 00000000 ; % %
03CA : 00110011 ; % ** ** %
03CB : 00110011 ; % ** ** %
03CC : 00110011 ; % ** ** %
03CD : 00011110 ; % **** %
03CE : 00001100 ; % ** %
03CF : 00111000 ; % *** %
 
03D0 : 00000000 ; % %
03D1 : 00000000 ; % %
03D2 : 00111111 ; % ****** %
03D3 : 00100110 ; % * ** %
03D4 : 00001100 ; % ** %
03D5 : 00011001 ; % ** * %
03D6 : 00111111 ; % ****** %
03D7 : 00000000 ; % %
 
03D8 : 00000111 ; % *** %
03D9 : 00001100 ; % ** %
03DA : 00001100 ; % ** %
03DB : 00111000 ; % *** %
03DC : 00001100 ; % ** %
03DD : 00001100 ; % ** %
03DE : 00000111 ; % *** %
03DF : 00000000 ; % %
 
03E0 : 00001100 ; % ** %
03E1 : 00001100 ; % ** %
03E2 : 00001100 ; % ** %
03E3 : 00001100 ; % ** %
03E4 : 00001100 ; % ** %
03E5 : 00001100 ; % ** %
03E6 : 00001100 ; % ** %
03E7 : 00000000 ; % %
 
03E8 : 00111000 ; % *** %
03E9 : 00001100 ; % ** %
03EA : 00001100 ; % ** %
03EB : 00000111 ; % *** %
03EC : 00001100 ; % ** %
03ED : 00001100 ; % ** %
03EE : 00111000 ; % *** %
03EF : 00000000 ; % %
 
03F0 : 00110001 ; % ** * %
03F1 : 01001001 ; % * * * %
03F2 : 01000110 ; % * ** %
03F3 : 00000000 ; % %
03F4 : 00000000 ; % %
03F5 : 00000000 ; % %
03F6 : 00000000 ; % %
03F7 : 00000000 ; % %
 
03F8 : 00001000 ; % * %
03F9 : 00011100 ; % *** %
03FA : 00110110 ; % ** ** %
03FB : 01100011 ; % ** ** %
03FC : 01100011 ; % ** ** %
03FD : 01100011 ; % ** ** %
03FE : 01111111 ; % ******* %
03FF : 00000000 ; % %
 
0400 : 00011110 ; % **** %
0401 : 00110011 ; % ** ** %
0402 : 01100000 ; % ** %
0403 : 01100000 ; % ** %
0404 : 00110011 ; % ** ** %
0405 : 00011110 ; % **** %
0406 : 00000110 ; % ** %
0407 : 00011100 ; % *** %
 
0408 : 00110011 ; % ** ** %
0409 : 00000000 ; % %
040A : 00110011 ; % ** ** %
040B : 00110011 ; % ** ** %
040C : 00110011 ; % ** ** %
040D : 00110011 ; % ** ** %
040E : 00111111 ; % ****** %
040F : 00000000 ; % %
 
0410 : 00000011 ; % ** %
0411 : 00000100 ; % * %
0412 : 00011110 ; % **** %
0413 : 00110011 ; % ** ** %
0414 : 00111111 ; % ****** %
0415 : 00110000 ; % ** %
0416 : 00011110 ; % **** %
0417 : 00000000 ; % %
 
0418 : 00001100 ; % ** %
0419 : 00110011 ; % ** ** %
041A : 00000000 ; % %
041B : 00011110 ; % **** %
041C : 00000011 ; % ** %
041D : 00111011 ; % *** ** %
041E : 00011111 ; % ***** %
041F : 00000000 ; % %
 
0420 : 00110011 ; % ** ** %
0421 : 00000000 ; % %
0422 : 00011110 ; % **** %
0423 : 00000011 ; % ** %
0424 : 00011111 ; % ***** %
0425 : 00110011 ; % ** ** %
0426 : 00011111 ; % ***** %
0427 : 00000000 ; % %
 
0428 : 00010000 ; % * %
0429 : 00001000 ; % * %
042A : 00011110 ; % **** %
042B : 00000011 ; % ** %
042C : 00011111 ; % ***** %
042D : 00110011 ; % ** ** %
042E : 00011111 ; % ***** %
042F : 00000000 ; % %
 
0430 : 00000100 ; % * %
0431 : 00001010 ; % * * %
0432 : 00011110 ; % **** %
0433 : 00000011 ; % ** %
0434 : 00011111 ; % ***** %
0435 : 00110011 ; % ** ** %
0436 : 00011111 ; % ***** %
0437 : 00000000 ; % %
 
0438 : 00000000 ; % %
0439 : 00000000 ; % %
043A : 00011111 ; % ***** %
043B : 00110000 ; % ** %
043C : 00110000 ; % ** %
043D : 00011111 ; % ***** %
043E : 00000110 ; % ** %
043F : 00011100 ; % *** %
 
0440 : 00001100 ; % ** %
0441 : 00110011 ; % ** ** %
0442 : 00000000 ; % %
0443 : 00011110 ; % **** %
0444 : 00110111 ; % ** *** %
0445 : 00110000 ; % ** %
0446 : 00011110 ; % **** %
0447 : 00000000 ; % %
 
0448 : 00110011 ; % ** ** %
0449 : 00000000 ; % %
044A : 00011110 ; % **** %
044B : 00110011 ; % ** ** %
044C : 00111111 ; % ****** %
044D : 00110000 ; % ** %
044E : 00011110 ; % **** %
044F : 00000000 ; % %
 
0450 : 00010000 ; % * %
0451 : 00001000 ; % * %
0452 : 00011110 ; % **** %
0453 : 00110011 ; % ** ** %
0454 : 00111111 ; % ****** %
0455 : 00110000 ; % ** %
0456 : 00011110 ; % **** %
0457 : 00000000 ; % %
 
0458 : 00110011 ; % ** ** %
0459 : 00000000 ; % %
045A : 00011100 ; % *** %
045B : 00001100 ; % ** %
045C : 00001100 ; % ** %
045D : 00001100 ; % ** %
045E : 00111111 ; % ****** %
045F : 00000000 ; % %
 
0460 : 00001100 ; % ** %
0461 : 00110011 ; % ** ** %
0462 : 00000000 ; % %
0463 : 00011100 ; % *** %
0464 : 00001100 ; % ** %
0465 : 00001100 ; % ** %
0466 : 00111111 ; % ****** %
0467 : 00000000 ; % %
 
0468 : 00010000 ; % * %
0469 : 00001000 ; % * %
046A : 00011100 ; % *** %
046B : 00001100 ; % ** %
046C : 00001100 ; % ** %
046D : 00001100 ; % ** %
046E : 00111111 ; % ****** %
046F : 00000000 ; % %
 
0470 : 00110011 ; % ** ** %
0471 : 00001100 ; % ** %
0472 : 00011110 ; % **** %
0473 : 00110011 ; % ** ** %
0474 : 00110011 ; % ** ** %
0475 : 00111111 ; % ****** %
0476 : 00110011 ; % ** ** %
0477 : 00000000 ; % %
 
0478 : 00011110 ; % **** %
0479 : 00110011 ; % ** ** %
047A : 00011110 ; % **** %
047B : 00110011 ; % ** ** %
047C : 00110011 ; % ** ** %
047D : 00111111 ; % ****** %
047E : 00110011 ; % ** ** %
047F : 00000000 ; % %
 
0480 : 00000011 ; % ** %
0481 : 00000110 ; % ** %
0482 : 00111111 ; % ****** %
0483 : 00110000 ; % ** %
0484 : 00111110 ; % ***** %
0485 : 00110000 ; % ** %
0486 : 00111111 ; % ****** %
0487 : 00000000 ; % %
 
0488 : 00000000 ; % %
0489 : 00000000 ; % %
048A : 01110110 ; % *** ** %
048B : 00001001 ; % * * %
048C : 00111111 ; % ****** %
048D : 01001000 ; % * * %
048E : 01110111 ; % *** *** %
048F : 00000000 ; % %
 
0490 : 00011111 ; % ***** %
0491 : 00110110 ; % ** ** %
0492 : 01100110 ; % ** ** %
0493 : 01111111 ; % ******* %
0494 : 01100110 ; % ** ** %
0495 : 01100110 ; % ** ** %
0496 : 01100111 ; % ** *** %
0497 : 00000000 ; % %
 
0498 : 00001100 ; % ** %
0499 : 00110011 ; % ** ** %
049A : 00000000 ; % %
049B : 00011110 ; % **** %
049C : 00110011 ; % ** ** %
049D : 00110011 ; % ** ** %
049E : 00011110 ; % **** %
049F : 00000000 ; % %
 
04A0 : 00110011 ; % ** ** %
04A1 : 00000000 ; % %
04A2 : 00011110 ; % **** %
04A3 : 00110011 ; % ** ** %
04A4 : 00110011 ; % ** ** %
04A5 : 00110011 ; % ** ** %
04A6 : 00011110 ; % **** %
04A7 : 00000000 ; % %
 
04A8 : 00110000 ; % ** %
04A9 : 00001000 ; % * %
04AA : 00011110 ; % **** %
04AB : 00110011 ; % ** ** %
04AC : 00110011 ; % ** ** %
04AD : 00110011 ; % ** ** %
04AE : 00011110 ; % **** %
04AF : 00000000 ; % %
 
04B0 : 00001100 ; % ** %
04B1 : 00110011 ; % ** ** %
04B2 : 00000000 ; % %
04B3 : 00110011 ; % ** ** %
04B4 : 00110011 ; % ** ** %
04B5 : 00110011 ; % ** ** %
04B6 : 00011111 ; % ***** %
04B7 : 00000000 ; % %
 
04B8 : 00110000 ; % ** %
04B9 : 00001000 ; % * %
04BA : 00110011 ; % ** ** %
04BB : 00110011 ; % ** ** %
04BC : 00110011 ; % ** ** %
04BD : 00110011 ; % ** ** %
04BE : 00011111 ; % ***** %
04BF : 00000000 ; % %
 
04C0 : 00110011 ; % ** ** %
04C1 : 00000000 ; % %
04C2 : 00110011 ; % ** ** %
04C3 : 00110011 ; % ** ** %
04C4 : 00110011 ; % ** ** %
04C5 : 00011110 ; % **** %
04C6 : 00001100 ; % ** %
04C7 : 00111000 ; % *** %
 
04C8 : 00110001 ; % ** ** %
04C9 : 00001110 ; % *** %
04CA : 00011011 ; % ** ** %
04CB : 00110001 ; % ** ** %
04CC : 00110001 ; % ** ** %
04CD : 00011011 ; % ** ** %
04CE : 00001110 ; % *** %
04CF : 00000000 ; % %
 
04D0 : 00110011 ; % ** ** %
04D1 : 00000000 ; % %
04D2 : 00110011 ; % ** ** %
04D3 : 00110011 ; % ** ** %
04D4 : 00110011 ; % ** ** %
04D5 : 00110011 ; % ** ** %
04D6 : 00011110 ; % **** %
04D7 : 00000000 ; % %
 
04D8 : 00001100 ; % ** %
04D9 : 00001100 ; % ** %
04DA : 00011110 ; % **** %
04DB : 00110000 ; % ** %
04DC : 00110000 ; % ** %
04DD : 00011110 ; % **** %
04DE : 00001100 ; % ** %
04DF : 00001100 ; % ** %
 
04E0 : 00001110 ; % *** %
04E1 : 00011011 ; % ** ** %
04E2 : 00011000 ; % ** %
04E3 : 00111110 ; % ***** %
04E4 : 00011000 ; % ** %
04E5 : 00010000 ; % * %
04E6 : 00111111 ; % ****** %
04E7 : 00000000 ; % %
 
04E8 : 00110011 ; % ** ** %
04E9 : 00110011 ; % ** ** %
04EA : 00011110 ; % **** %
04EB : 00111111 ; % ****** %
04EC : 00001100 ; % ** %
04ED : 00111111 ; % ****** %
04EE : 00001100 ; % ** %
04EF : 00001100 ; % ** %
 
04F0 : 01111000 ; % **** %
04F1 : 01101100 ; % ** ** %
04F2 : 01101100 ; % ** ** %
04F3 : 01111110 ; % ****** %
04F4 : 01100111 ; % ** *** %
04F5 : 01100110 ; % ** ** %
04F6 : 01100111 ; % ** *** %
04F7 : 00000000 ; % %
 
04F8 : 00000111 ; % *** %
04F9 : 00001100 ; % ** %
04FA : 00001100 ; % ** %
04FB : 00111111 ; % ****** %
04FC : 00001100 ; % ** %
04FD : 00001100 ; % ** %
04FE : 00111000 ; % *** %
04FF : 00000000 ; % %
 
0500 : 00000011 ; % ** %
0501 : 00000100 ; % * %
0502 : 00011110 ; % **** %
0503 : 00000011 ; % ** %
0504 : 00011111 ; % ***** %
0505 : 00110011 ; % ** ** %
0506 : 00011111 ; % ***** %
0507 : 00000000 ; % %
 
0508 : 00000011 ; % ** %
0509 : 00000100 ; % * %
050A : 00011100 ; % *** %
050B : 00001100 ; % ** %
050C : 00001100 ; % ** %
050D : 00001100 ; % ** %
050E : 00111111 ; % ****** %
050F : 00000000 ; % %
 
0510 : 00000011 ; % ** %
0511 : 00000100 ; % * %
0512 : 00011110 ; % **** %
0513 : 00110011 ; % ** ** %
0514 : 00110011 ; % ** ** %
0515 : 00110011 ; % ** ** %
0516 : 00011110 ; % **** %
0517 : 00000000 ; % %
 
0518 : 00000011 ; % ** %
0519 : 00000100 ; % * %
051A : 00110011 ; % ** ** %
051B : 00110011 ; % ** ** %
051C : 00110011 ; % ** ** %
051D : 00110011 ; % ** ** %
051E : 00011111 ; % ***** %
051F : 00000000 ; % %
 
0520 : 00111001 ; % *** * %
0521 : 00100111 ; % * *** %
0522 : 00000000 ; % %
0523 : 00111110 ; % ***** %
0524 : 00110011 ; % ** ** %
0525 : 00110011 ; % ** ** %
0526 : 00110011 ; % ** ** %
0527 : 00000000 ; % %
 
0528 : 00011001 ; % ** * %
0529 : 00100110 ; % * ** %
052A : 01110011 ; % *** ** %
052B : 01011011 ; % * ** ** %
052C : 01101101 ; % ** ** * %
052D : 01100111 ; % ** *** %
052E : 01100011 ; % ** ** %
052F : 00000000 ; % %
 
0530 : 00111100 ; % **** %
0531 : 00000110 ; % ** %
0532 : 00111110 ; % ***** %
0533 : 01100110 ; % ** ** %
0534 : 00111110 ; % ***** %
0535 : 00000000 ; % %
0536 : 01111110 ; % ****** %
0537 : 00000000 ; % %
 
0538 : 00111100 ; % **** %
0539 : 01100110 ; % ** ** %
053A : 01100110 ; % ** ** %
053B : 01100110 ; % ** ** %
053C : 00111100 ; % **** %
053D : 00000000 ; % %
053E : 01111110 ; % ****** %
053F : 00000000 ; % %
 
0540 : 00000000 ; % %
0541 : 00011000 ; % ** %
0542 : 00000000 ; % %
0543 : 00011000 ; % ** %
0544 : 00110000 ; % ** %
0545 : 01100000 ; % ** %
0546 : 01100110 ; % ** ** %
0547 : 00111100 ; % **** %
 
0548 : 00000000 ; % %
0549 : 00000000 ; % %
054A : 00000000 ; % %
054B : 01111110 ; % ****** %
054C : 01100000 ; % ** %
054D : 01100000 ; % ** %
054E : 00000000 ; % %
054F : 00000000 ; % %
 
0550 : 00000000 ; % %
0551 : 00000000 ; % %
0552 : 00000000 ; % %
0553 : 01111110 ; % ****** %
0554 : 00000110 ; % ** %
0555 : 00000110 ; % ** %
0556 : 00000000 ; % %
0557 : 00000000 ; % %
 
0558 : 01000000 ; % * %
0559 : 01000000 ; % * %
055A : 01000000 ; % * %
055B : 01011110 ; % * **** %
055C : 01000011 ; % * ** %
055D : 00001110 ; % *** %
055E : 00011000 ; % ** %
055F : 00011111 ; % ***** %
 
0560 : 01000000 ; % * %
0561 : 01000000 ; % * %
0562 : 01000000 ; % * %
0563 : 01000111 ; % * *** %
0564 : 01001011 ; % * * ** %
0565 : 00010011 ; % * ** %
0566 : 00011111 ; % ***** %
0567 : 00000011 ; % ** %
 
0568 : 00000000 ; % %
0569 : 00011000 ; % ** %
056A : 00000000 ; % %
056B : 00011000 ; % ** %
056C : 00011000 ; % ** %
056D : 00111100 ; % **** %
056E : 00111100 ; % **** %
056F : 00011000 ; % ** %
 
0570 : 00000000 ; % %
0571 : 00000000 ; % %
0572 : 00011011 ; % ** ** %
0573 : 00110110 ; % ** ** %
0574 : 01101100 ; % ** ** %
0575 : 00110110 ; % ** ** %
0576 : 00011011 ; % ** ** %
0577 : 00000000 ; % %
 
0578 : 00000000 ; % %
0579 : 00000000 ; % %
057A : 01101100 ; % ** ** %
057B : 00110110 ; % ** ** %
057C : 00011011 ; % ** ** %
057D : 00110110 ; % ** ** %
057E : 01101100 ; % ** ** %
057F : 00000000 ; % %
 
0580 : 00010001 ; % * * %
0581 : 01000100 ; % * * %
0582 : 00010001 ; % * * %
0583 : 01000100 ; % * * %
0584 : 00010001 ; % * * %
0585 : 01000100 ; % * * %
0586 : 00010001 ; % * * %
0587 : 01000100 ; % * * %
 
0588 : 00101010 ; % * * * * %
0589 : 01010101 ; % * * * * %
058A : 00101010 ; % * * * * %
058B : 01010101 ; % * * * * %
058C : 00101010 ; % * * * * %
058D : 01010101 ; % * * * * %
058E : 00101010 ; % * * * * %
058F : 01010101 ; % * * * * %
 
0590 : 01011101 ; % * *** ** %
0591 : 01110111 ; % *** *** %
0592 : 01011101 ; % * *** ** %
0593 : 01110111 ; % *** *** %
0594 : 01011101 ; % * *** ** %
0595 : 01110111 ; % *** *** %
0596 : 01011101 ; % * *** ** %
0597 : 01110111 ; % *** *** %
 
0598 : 00001100 ; % ** %
0599 : 00001100 ; % ** %
059A : 00001100 ; % ** %
059B : 00001100 ; % ** %
059C : 00001100 ; % ** %
059D : 00001100 ; % ** %
059E : 00001100 ; % ** %
059F : 00001100 ; % ** %
 
05A0 : 00001100 ; % ** %
05A1 : 00001100 ; % ** %
05A2 : 00001100 ; % ** %
05A3 : 00001100 ; % ** %
05A4 : 01111100 ; % ***** %
05A5 : 00001100 ; % ** %
05A6 : 00001100 ; % ** %
05A7 : 00001100 ; % ** %
 
05A8 : 00001100 ; % ** %
05A9 : 00001100 ; % ** %
05AA : 00001100 ; % ** %
05AB : 01111100 ; % ***** %
05AC : 00001100 ; % ** %
05AD : 01111100 ; % ***** %
05AE : 00001100 ; % ** %
05AF : 00001100 ; % ** %
 
05B0 : 00011011 ; % ** ** %
05B1 : 00011011 ; % ** ** %
05B2 : 00011011 ; % ** ** %
05B3 : 00011011 ; % ** ** %
05B4 : 01111011 ; % **** ** %
05B5 : 00011011 ; % ** ** %
05B6 : 00011011 ; % ** ** %
05B7 : 00011011 ; % ** ** %
 
05B8 : 00000000 ; % %
05B9 : 00000000 ; % %
05BA : 00000000 ; % %
05BB : 00000000 ; % %
05BC : 01111111 ; % ******* %
05BD : 00011011 ; % ** ** %
05BE : 00011011 ; % ** ** %
05BF : 00011011 ; % ** ** %
 
05C0 : 00000000 ; % %
05C1 : 00000000 ; % %
05C2 : 00000000 ; % %
05C3 : 01111100 ; % ***** %
05C4 : 00001100 ; % ** %
05C5 : 01111100 ; % ***** %
05C6 : 00001100 ; % ** %
05C7 : 00001100 ; % ** %
 
05C8 : 00011011 ; % ** ** %
05C9 : 00011011 ; % ** ** %
05CA : 00011011 ; % ** ** %
05CB : 01111011 ; % **** ** %
05CC : 00000011 ; % ** %
05CD : 01111011 ; % **** ** %
05CE : 00011011 ; % ** ** %
05CF : 00011011 ; % ** ** %
 
05D0 : 00011011 ; % ** ** %
05D1 : 00011011 ; % ** ** %
05D2 : 00011011 ; % ** ** %
05D3 : 00011011 ; % ** ** %
05D4 : 00011011 ; % ** ** %
05D5 : 00011011 ; % ** ** %
05D6 : 00011011 ; % ** ** %
05D7 : 00011011 ; % ** ** %
 
05D8 : 00000000 ; % %
05D9 : 00000000 ; % %
05DA : 00000000 ; % %
05DB : 01111111 ; % ******* %
05DC : 00000011 ; % ** %
05DD : 01111011 ; % **** ** %
05DE : 00011011 ; % ** ** %
05DF : 00011011 ; % ** ** %
 
05E0 : 00011011 ; % ** ** %
05E1 : 00011011 ; % ** ** %
05E2 : 00011011 ; % ** ** %
05E3 : 01111011 ; % **** ** %
05E4 : 00000011 ; % ** %
05E5 : 01111111 ; % ******* %
05E6 : 00000000 ; % %
05E7 : 00000000 ; % %
 
05E8 : 00011011 ; % ** ** %
05E9 : 00011011 ; % ** ** %
05EA : 00011011 ; % ** ** %
05EB : 00011011 ; % ** ** %
05EC : 01111111 ; % ******* %
05ED : 00000000 ; % %
05EE : 00000000 ; % %
05EF : 00000000 ; % %
 
05F0 : 00001100 ; % ** %
05F1 : 00001100 ; % ** %
05F2 : 00001100 ; % ** %
05F3 : 01111100 ; % ***** %
05F4 : 00001100 ; % ** %
05F5 : 01111100 ; % ***** %
05F6 : 00000000 ; % %
05F7 : 00000000 ; % %
 
05F8 : 00000000 ; % %
05F9 : 00000000 ; % %
05FA : 00000000 ; % %
05FB : 00000000 ; % %
05FC : 01111100 ; % ***** %
05FD : 00001100 ; % ** %
05FE : 00001100 ; % ** %
05FF : 00001100 ; % ** %
 
0600 : 00001100 ; % ** %
0601 : 00001100 ; % ** %
0602 : 00001100 ; % ** %
0603 : 00001100 ; % ** %
0604 : 00001111 ; % ***** %
0605 : 00000000 ; % %
0606 : 00000000 ; % %
0607 : 00000000 ; % %
 
0608 : 00001100 ; % ** %
0609 : 00001100 ; % ** %
060A : 00001100 ; % ** %
060B : 00001100 ; % ** %
060C : 01111111 ; % ******** %
060D : 00000000 ; % %
060E : 00000000 ; % %
060F : 00000000 ; % %
 
0610 : 00000000 ; % %
0611 : 00000000 ; % %
0612 : 00000000 ; % %
0613 : 00000000 ; % %
0614 : 01111111 ; % ******** %
0615 : 00001100 ; % ** %
0616 : 00001100 ; % ** %
0617 : 00001100 ; % ** %
 
0618 : 00001100 ; % ** %
0619 : 00001100 ; % ** %
061A : 00001100 ; % ** %
061B : 00001100 ; % ** %
061C : 00001111 ; % ***** %
061D : 00001100 ; % ** %
061E : 00001100 ; % ** %
061F : 00001100 ; % ** %
 
0620 : 00000000 ; % %
0621 : 00000000 ; % %
0622 : 00000000 ; % %
0623 : 00000000 ; % %
0624 : 01111111 ; % ******** %
0625 : 00000000 ; % %
0626 : 00000000 ; % %
0627 : 00000000 ; % %
 
0628 : 00001100 ; % ** %
0629 : 00001100 ; % ** %
062A : 00001100 ; % ** %
062B : 00001100 ; % ** %
062C : 01111111 ; % ******** %
062D : 00001100 ; % ** %
062E : 00001100 ; % ** %
062F : 00001100 ; % ** %
 
0630 : 00001100 ; % ** %
0631 : 00001100 ; % ** %
0632 : 00001100 ; % ** %
0633 : 00001111 ; % ***** %
0634 : 00001100 ; % ** %
0635 : 00001111 ; % ***** %
0636 : 00001100 ; % ** %
0637 : 00001100 ; % ** %
 
0638 : 00011011 ; % ** ** %
0639 : 00011011 ; % ** ** %
063A : 00011011 ; % ** ** %
063B : 00011011 ; % ** ** %
063C : 00011011 ; % ** *** %
063D : 00011011 ; % ** ** %
063E : 00011011 ; % ** ** %
063F : 00011011 ; % ** ** %
 
0640 : 00011011 ; % ** ** %
0641 : 00011011 ; % ** ** %
0642 : 00011011 ; % ** ** %
0643 : 00011011 ; % ** *** %
0644 : 00011000 ; % ** %
0645 : 00011111 ; % ****** %
0646 : 00000000 ; % %
0647 : 00000000 ; % %
 
0648 : 00000000 ; % %
0649 : 00000000 ; % %
064A : 00000000 ; % %
064B : 00011111 ; % ****** %
064C : 00011000 ; % ** %
064D : 00011011 ; % ** *** %
064E : 00011011 ; % ** ** %
064F : 00011011 ; % ** ** %
 
0650 : 00011011 ; % ** ** %
0651 : 00011011 ; % ** ** %
0652 : 00011011 ; % ** ** %
0653 : 01111011 ; % **** *** %
0654 : 00000000 ; % %
0655 : 01111111 ; % ******** %
0656 : 00000000 ; % %
0657 : 00000000 ; % %
 
0658 : 00000000 ; % %
0659 : 00000000 ; % %
065A : 00000000 ; % %
065B : 01111111 ; % ******** %
065C : 00000000 ; % %
065D : 01111011 ; % **** *** %
065E : 00011011 ; % ** ** %
065F : 00011011 ; % ** ** %
 
0660 : 00011011 ; % ** ** %
0661 : 00011011 ; % ** ** %
0662 : 00011011 ; % ** ** %
0663 : 00011011 ; % ** *** %
0664 : 00011000 ; % ** %
0665 : 00011011 ; % ** *** %
0666 : 00011011 ; % ** ** %
0667 : 00011011 ; % ** ** %
 
0668 : 00000000 ; % %
0669 : 00000000 ; % %
066A : 00000000 ; % %
066B : 01111111 ; % ******** %
066C : 00000000 ; % %
066D : 01111111 ; % ******** %
066E : 00000000 ; % %
066F : 00000000 ; % %
 
0670 : 00011011 ; % ** ** %
0671 : 00011011 ; % ** ** %
0672 : 00011011 ; % ** ** %
0673 : 01111011 ; % **** *** %
0674 : 00000000 ; % %
0675 : 01111011 ; % **** *** %
0676 : 00011011 ; % ** ** %
0677 : 00011011 ; % ** ** %
 
0678 : 00001100 ; % ** %
0679 : 00001100 ; % ** %
067A : 00001100 ; % ** %
067B : 01111111 ; % ******** %
067C : 00000000 ; % %
067D : 01111111 ; % ******** %
067E : 00000000 ; % %
067F : 00000000 ; % %
 
0680 : 00011011 ; % ** ** %
0681 : 00011011 ; % ** ** %
0682 : 00011011 ; % ** ** %
0683 : 00011011 ; % ** ** %
0684 : 01111111 ; % ******** %
0685 : 00000000 ; % %
0686 : 00000000 ; % %
0687 : 00000000 ; % %
 
0688 : 00000000 ; % %
0689 : 00000000 ; % %
068A : 00000000 ; % %
068B : 01111111 ; % ******** %
068C : 00000000 ; % %
068D : 01111111 ; % ******** %
068E : 00001100 ; % ** %
068F : 00001100 ; % ** %
 
0690 : 00000000 ; % %
0691 : 00000000 ; % %
0692 : 00000000 ; % %
0693 : 00000000 ; % %
0694 : 01111111 ; % ******** %
0695 : 00011011 ; % ** ** %
0696 : 00011011 ; % ** ** %
0697 : 00011011 ; % ** ** %
 
0698 : 00011011 ; % ** ** %
0699 : 00011011 ; % ** ** %
069A : 00011011 ; % ** ** %
069B : 00011011 ; % ** ** %
069C : 00011111 ; % ****** %
069D : 00000000 ; % %
069E : 00000000 ; % %
069F : 00000000 ; % %
 
06A0 : 00001100 ; % ** %
06A1 : 00001100 ; % ** %
06A2 : 00001100 ; % ** %
06A3 : 00001111 ; % ***** %
06A4 : 00001100 ; % ** %
06A5 : 00001111 ; % ***** %
06A6 : 00000000 ; % %
06A7 : 00000000 ; % %
 
06A8 : 00000000 ; % %
06A9 : 00000000 ; % %
06AA : 00000000 ; % %
06AB : 00001111 ; % ***** %
06AC : 00001100 ; % ** %
06AD : 00001111 ; % ***** %
06AE : 00001100 ; % ** %
06AF : 00001100 ; % ** %
 
06B0 : 00000000 ; % %
06B1 : 00000000 ; % %
06B2 : 00000000 ; % %
06B3 : 00000000 ; % %
06B4 : 00011111 ; % ****** %
06B5 : 00011011 ; % ** ** %
06B6 : 00011011 ; % ** ** %
06B7 : 00011011 ; % ** ** %
 
06B8 : 00011011 ; % ** ** %
06B9 : 00011011 ; % ** ** %
06BA : 00011011 ; % ** ** %
06BB : 00011011 ; % ** ** %
06BC : 01111111 ; % ******** %
06BD : 00011011 ; % ** ** %
06BE : 00011011 ; % ** ** %
06BF : 00011011 ; % ** ** %
 
06C0 : 00001100 ; % ** %
06C1 : 00001100 ; % ** %
06C2 : 00001100 ; % ** %
06C3 : 01111111 ; % ******** %
06C4 : 00001100 ; % ** %
06C5 : 01111111 ; % ******** %
06C6 : 00001100 ; % ** %
06C7 : 00001100 ; % ** %
 
06C8 : 00001100 ; % ** %
06C9 : 00001100 ; % ** %
06CA : 00001100 ; % ** %
06CB : 00001100 ; % ** %
06CC : 01111100 ; % ***** %
06CD : 00000000 ; % %
06CE : 00000000 ; % %
06CF : 00000000 ; % %
 
06D0 : 00000000 ; % %
06D1 : 00000000 ; % %
06D2 : 00000000 ; % %
06D3 : 00000000 ; % %
06D4 : 00001111 ; % ***** %
06D5 : 00001100 ; % ** %
06D6 : 00001100 ; % ** %
06D7 : 00001100 ; % ** %
 
06D8 : 01111111 ; % ******** %
06D9 : 01111111 ; % ******** %
06DA : 01111111 ; % ******** %
06DB : 01111111 ; % ******** %
06DC : 01111111 ; % ******** %
06DD : 01111111 ; % ******** %
06DE : 01111111 ; % ******** %
06DF : 01111111 ; % ******** %
 
06E0 : 00000000 ; % %
06E1 : 00000000 ; % %
06E2 : 00000000 ; % %
06E3 : 00000000 ; % %
06E4 : 01111111 ; % ******** %
06E5 : 01111111 ; % ******** %
06E6 : 01111111 ; % ******** %
06E7 : 01111111 ; % ******** %
 
06E8 : 01111000 ; % **** %
06E9 : 01111000 ; % **** %
06EA : 01111000 ; % **** %
06EB : 01111000 ; % **** %
06EC : 01111000 ; % **** %
06ED : 01111000 ; % **** %
06EE : 01111000 ; % **** %
06EF : 01111000 ; % **** %
 
06F0 : 00000111 ; % **** %
06F1 : 00000111 ; % **** %
06F2 : 00000111 ; % **** %
06F3 : 00000111 ; % **** %
06F4 : 00000111 ; % **** %
06F5 : 00000111 ; % **** %
06F6 : 00000111 ; % **** %
06F7 : 00000111 ; % **** %
 
06F8 : 01111111 ; % ******** %
06F9 : 01111111 ; % ******** %
06FA : 01111111 ; % ******** %
06FB : 01111111 ; % ******** %
06FC : 00000000 ; % %
06FD : 00000000 ; % %
06FE : 00000000 ; % %
06FF : 00000000 ; % %
 
0700 : 00000000 ; % %
0701 : 00000000 ; % %
0702 : 00111011 ; % *** ** %
0703 : 01101110 ; % ** *** %
0704 : 01100100 ; % ** * %
0705 : 01101110 ; % ** *** %
0706 : 00111011 ; % *** ** %
0707 : 00000000 ; % %
 
0708 : 00111100 ; % **** %
0709 : 01100110 ; % ** ** %
070A : 01101100 ; % ** ** %
070B : 01100110 ; % ** ** %
070C : 01100110 ; % ** ** %
070D : 01111100 ; % ***** %
070E : 01100000 ; % ** %
070F : 01100000 ; % ** %
 
0710 : 01111111 ; % ******* %
0711 : 01100011 ; % ** ** %
0712 : 01100000 ; % ** %
0713 : 01100000 ; % ** %
0714 : 01100000 ; % ** %
0715 : 01100000 ; % ** %
0716 : 01100000 ; % ** %
0717 : 00000000 ; % %
 
0718 : 00000000 ; % %
0719 : 00000000 ; % %
071A : 01111111 ; % ******* %
071B : 00110110 ; % ** ** %
071C : 00110110 ; % ** ** %
071D : 00110110 ; % ** ** %
071E : 00110011 ; % ** ** %
071F : 00000000 ; % %
 
0720 : 01111111 ; % ******* %
0721 : 00110000 ; % ** %
0722 : 00011000 ; % ** %
0723 : 00001100 ; % ** %
0724 : 00011000 ; % ** %
0725 : 00110000 ; % ** %
0726 : 01111111 ; % ******* %
0727 : 00000000 ; % %
 
0728 : 00000000 ; % %
0729 : 00000000 ; % %
072A : 00111111 ; % ****** %
072B : 01100100 ; % ** * %
072C : 01100110 ; % ** ** %
072D : 01100110 ; % ** ** %
072E : 00111100 ; % **** %
072F : 00000000 ; % %
 
0730 : 00000000 ; % %
0731 : 00000000 ; % %
0732 : 01100110 ; % ** ** %
0733 : 01100110 ; % ** ** %
0734 : 01100110 ; % ** ** %
0735 : 01111100 ; % ***** %
0736 : 01100000 ; % ** %
0737 : 01000000 ; % * %
 
0738 : 00000000 ; % %
0739 : 00000000 ; % %
073A : 01111111 ; % ******* %
073B : 00011000 ; % ** %
073C : 00011000 ; % ** %
073D : 00011000 ; % ** %
073E : 00001110 ; % *** %
073F : 00000000 ; % %
 
0740 : 00011110 ; % **** %
0741 : 00001100 ; % ** %
0742 : 00111111 ; % ****** %
0743 : 01100001 ; % ** ** %
0744 : 00111111 ; % ****** %
0745 : 00001100 ; % ** %
0746 : 00011110 ; % **** %
0747 : 00000000 ; % %
 
0748 : 00011100 ; % *** %
0749 : 00110110 ; % ** ** %
074A : 01100011 ; % ** ** %
074B : 01111111 ; % ******* %
074C : 01100011 ; % ** ** %
074D : 00110110 ; % ** ** %
074E : 00011100 ; % *** %
074F : 00000000 ; % %
 
0750 : 00111110 ; % ***** %
0751 : 01100011 ; % ** ** %
0752 : 01100011 ; % ** ** %
0753 : 01100011 ; % ** ** %
0754 : 01100011 ; % ** ** %
0755 : 00110110 ; % ** ** %
0756 : 01110111 ; % *** *** %
0757 : 00000000 ; % %
 
0758 : 00001110 ; % *** %
0759 : 00011000 ; % ** %
075A : 00001100 ; % ** %
075B : 00111110 ; % ***** %
075C : 01100110 ; % ** ** %
075D : 01100110 ; % ** ** %
075E : 00111100 ; % **** %
075F : 00000000 ; % %
 
0760 : 00000000 ; % %
0761 : 00000000 ; % %
0762 : 00110011 ; % ** ** %
0763 : 01101101 ; % ** ** ** %
0764 : 01101101 ; % ** ** ** %
0765 : 00110011 ; % ** ** %
0766 : 00000000 ; % %
0767 : 00000000 ; % %
 
0768 : 00000001 ; % ** %
0769 : 00000011 ; % ** %
076A : 00111111 ; % ****** %
076B : 01101101 ; % ** ** ** %
076C : 01101101 ; % ** ** ** %
076D : 00111111 ; % ****** %
076E : 00110000 ; % ** %
076F : 01100000 ; % ** %
 
0770 : 00011110 ; % **** %
0771 : 00110000 ; % ** %
0772 : 01100000 ; % ** %
0773 : 01111110 ; % ****** %
0774 : 01100000 ; % ** %
0775 : 00110000 ; % ** %
0776 : 00011110 ; % **** %
0777 : 00000000 ; % %
 
0778 : 00111100 ; % **** %
0779 : 01100110 ; % ** ** %
077A : 01100110 ; % ** ** %
077B : 01100110 ; % ** ** %
077C : 01100110 ; % ** ** %
077D : 01100110 ; % ** ** %
077E : 01100110 ; % ** ** %
077F : 00000000 ; % %
 
0780 : 00000000 ; % %
0781 : 01111110 ; % ****** %
0782 : 00000000 ; % %
0783 : 01111110 ; % ****** %
0784 : 00000000 ; % %
0785 : 01111110 ; % ****** %
0786 : 00000000 ; % %
0787 : 00000000 ; % %
 
0788 : 00011000 ; % ** %
0789 : 00011000 ; % ** %
078A : 01111110 ; % ****** %
078B : 00011000 ; % ** %
078C : 00011000 ; % ** %
078D : 00000000 ; % %
078E : 01111110 ; % ****** %
078F : 00000000 ; % %
 
0790 : 00110000 ; % ** %
0791 : 00011000 ; % ** %
0792 : 00001110 ; % *** %
0793 : 00011000 ; % ** %
0794 : 00110000 ; % ** %
0795 : 00000000 ; % %
0796 : 01111110 ; % ****** %
0797 : 00000000 ; % %
 
0798 : 00001100 ; % ** %
0799 : 00011000 ; % ** %
079A : 01110000 ; % *** %
079B : 00011000 ; % ** %
079C : 00001100 ; % ** %
079D : 00000000 ; % %
079E : 01111110 ; % ****** %
079F : 00000000 ; % %
 
07A0 : 00000111 ; % *** %
07A1 : 00001101 ; % ** ** %
07A2 : 00001101 ; % ** ** %
07A3 : 00001100 ; % ** %
07A4 : 00001100 ; % ** %
07A5 : 00001100 ; % ** %
07A6 : 00001100 ; % ** %
07A7 : 00001100 ; % ** %
 
07A8 : 00001100 ; % ** %
07A9 : 00001100 ; % ** %
07AA : 00001100 ; % ** %
07AB : 00001100 ; % ** %
07AC : 00001100 ; % ** %
07AD : 01101100 ; % ** ** %
07AE : 01101100 ; % ** ** %
07AF : 00111000 ; % *** %
 
07B0 : 00011000 ; % ** %
07B1 : 00011000 ; % ** %
07B2 : 00000000 ; % %
07B3 : 01111110 ; % ****** %
07B4 : 00000000 ; % %
07B5 : 00011000 ; % ** %
07B6 : 00011000 ; % ** %
07B7 : 00000000 ; % %
 
07B8 : 00000000 ; % %
07B9 : 00111001 ; % *** * %
07BA : 01001110 ; % * *** %
07BB : 00000000 ; % %
07BC : 00111001 ; % *** * %
07BD : 01001110 ; % * *** %
07BE : 00000000 ; % %
07BF : 00000000 ; % %
 
07C0 : 00011100 ; % *** %
07C1 : 00110110 ; % ** ** %
07C2 : 00110110 ; % ** ** %
07C3 : 00011100 ; % *** %
07C4 : 00000000 ; % %
07C5 : 00000000 ; % %
07C6 : 00000000 ; % %
07C7 : 00000000 ; % %
 
07C8 : 00000000 ; % %
07C9 : 00000000 ; % %
07CA : 00011000 ; % ** %
07CB : 00011000 ; % ** %
07CC : 00000000 ; % %
07CD : 00000000 ; % %
07CE : 00000000 ; % %
07CF : 00000000 ; % %
 
07D0 : 00000000 ; % %
07D1 : 00000000 ; % %
07D2 : 00000000 ; % %
07D3 : 00011000 ; % ** %
07D4 : 00000000 ; % %
07D5 : 00000000 ; % %
07D6 : 00000000 ; % %
07D7 : 00000000 ; % %
 
07D8 : 00000111 ; % *** %
07D9 : 00000100 ; % * %
07DA : 00000100 ; % * %
07DB : 01000100 ; % * * %
07DC : 01100100 ; % ** * %
07DD : 00110100 ; % ** * %
07DE : 00011100 ; % *** %
07DF : 00001100 ; % ** %
 
07E0 : 00111100 ; % **** %
07E1 : 00110110 ; % ** ** %
07E2 : 00110110 ; % ** ** %
07E3 : 00110110 ; % ** ** %
07E4 : 00110110 ; % ** ** %
07E5 : 00000000 ; % %
07E6 : 00000000 ; % %
07E7 : 00000000 ; % %
 
07E8 : 00111100 ; % **** %
07E9 : 00000110 ; % ** %
07EA : 00011100 ; % *** %
07EB : 00110000 ; % ** %
07EC : 00111110 ; % ***** %
07ED : 00000000 ; % %
07EE : 00000000 ; % %
07EF : 00000000 ; % %
 
07F0 : 00000000 ; % %
07F1 : 00000000 ; % %
07F2 : 00111100 ; % **** %
07F3 : 00111100 ; % **** %
07F4 : 00111100 ; % **** %
07F5 : 00111100 ; % **** %
07F6 : 00000000 ; % %
07F7 : 00000000 ; % %
 
07F8 : 00000000 ; % %
07F9 : 00000000 ; % %
07FA : 00000000 ; % %
07FB : 00000000 ; % %
07FC : 00000000 ; % %
07FD : 00000000 ; % %
07FE : 00000000 ; % %
07FF : 00000000 ; % %
End;
/z80asm.exe Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
z80asm.exe Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: TCGROM.MIF =================================================================== --- TCGROM.MIF (nonexistent) +++ TCGROM.MIF (revision 31) @@ -0,0 +1,583 @@ +Depth = 512; +Width = 8; +Address_radix = oct; +Data_radix = bin; +% Character Generator ROM Data % +Content + Begin +000 : 11000011 ; % ****** % +001 : 01100110 ; % ****** % +002 : 00111100 ; % ****** % +003 : 00011000 ; % ****** % +004 : 00011000 ; % ****** % +005 : 00111100 ; % ****** % +006 : 01100110 ; % ****** % +007 : 11000011 ; % ****** % + +010 : 00011000 ; % ** % +011 : 00111100 ; % **** % +012 : 01100110 ; % ** ** % +013 : 01111110 ; % ****** % +014 : 01100110 ; % ** ** % +015 : 01100110 ; % ** ** % +016 : 01100110 ; % ** ** % +017 : 00000000 ; % % + +020 : 01111100 ; % ***** % +021 : 01100110 ; % ** ** % +022 : 01100110 ; % ** ** % +023 : 01111100 ; % ***** % +024 : 01100110 ; % ** ** % +025 : 01100110 ; % ** ** % +026 : 01111100 ; % ***** % +027 : 00000000 ; % % +030 : 00111100 ; % **** % +031 : 01100110 ; % ** ** % +032 : 01100000 ; % ** % +033 : 01100000 ; % ** % +034 : 01100000 ; % ** % +035 : 01100110 ; % ** ** % +036 : 00111100 ; % **** % +037 : 00000000 ; % % + +040 : 01111000 ; % **** % +041 : 01101100 ; % ** ** % +042 : 01100110 ; % ** ** % +043 : 01100110 ; % ** ** % +044 : 01100110 ; % ** ** % +045 : 01101100 ; % ** ** % +046 : 01111000 ; % **** % +047 : 00000000 ; % % + +050 : 01111110 ; % ****** % +051 : 01100000 ; % ** % +052 : 01100000 ; % ** % +053 : 01111000 ; % **** % +054 : 01100000 ; % ** % +055 : 01100000 ; % ** % +056 : 01111110 ; % ****** % +057 : 00000000 ; % % + +060 : 01111110 ; % ****** % +061 : 01100000 ; % ** % +062 : 01100000 ; % ** % +063 : 01111000 ; % **** % +064 : 01100000 ; % ** % +065 : 01100000 ; % ** % +066 : 01100000 ; % ** % +067 : 00000000 ; % % + +070 : 00111100 ; % **** % +071 : 01100110 ; % ** ** % +072 : 01100000 ; % ** % +073 : 01101110 ; % ** *** % +074 : 01100110 ; % ** ** % +075 : 01100110 ; % ** ** % +076 : 00111100 ; % **** % +077 : 00000000 ; % % + +100 : 01100110 ; % ** ** % +101 : 01100110 ; % ** ** % +102 : 01100110 ; % ** ** % +103 : 01111110 ; % ****** % +104 : 01100110 ; % ** ** % +105 : 01100110 ; % ** ** % +106 : 01100110 ; % ** ** % +107 : 00000000 ; % % + +110 : 00111100 ; % **** % +111 : 00011000 ; % ** % +112 : 00011000 ; % ** % +113 : 00011000 ; % ** % +114 : 00011000 ; % ** % +115 : 00011000 ; % ** % +116 : 00111100 ; % **** % +117 : 00000000 ; % % + +120 : 00011110 ; % **** % +121 : 00001100 ; % ** % +122 : 00001100 ; % ** % +123 : 00001100 ; % ** % +124 : 00001100 ; % ** % +125 : 01101100 ; % ** ** % +126 : 00111000 ; % *** % +127 : 00000000 ; % % + +130 : 01100110 ; % ** ** % +131 : 01101100 ; % ** ** % +132 : 01111000 ; % **** % +133 : 01110000 ; % *** % +134 : 01111000 ; % **** % +135 : 01101100 ; % ** ** % +136 : 01100110 ; % ** ** % +137 : 00000000 ; % % + +140 : 01100000 ; % ** % +141 : 01100000 ; % ** % +142 : 01100000 ; % ** % +143 : 01100000 ; % ** % +144 : 01100000 ; % ** % +145 : 01100000 ; % ** % +146 : 01111110 ; % ****** % +147 : 00000000 ; % % + +150 : 01100011 ; % ** ** % +151 : 01110111 ; % *** *** % +152 : 01111111 ; % ******* % +153 : 01101011 ; % ** * ** % +154 : 01100011 ; % ** ** % +155 : 01100011 ; % ** ** % +156 : 01100011 ; % ** ** % +157 : 00000000 ; % % + +160 : 01100110 ; % ** ** % +161 : 01110110 ; % *** ** % +162 : 01111110 ; % ****** % +163 : 01111110 ; % ****** % +164 : 01101110 ; % ** *** % +165 : 01100110 ; % ** ** % +166 : 01100110 ; % ** ** % +167 : 00000000 ; % % + +170 : 00111100 ; % **** % +171 : 01100110 ; % ** ** % +172 : 01100110 ; % ** ** % +173 : 01100110 ; % ** ** % +174 : 01100110 ; % ** ** % +175 : 01100110 ; % ** ** % +176 : 00111100 ; % **** % +177 : 00000000 ; % % + +200 : 01111100 ; % ***** % +201 : 01100110 ; % ** ** % +202 : 01100110 ; % ** ** % +203 : 01111100 ; % ***** % +204 : 01100000 ; % ** % +205 : 01100000 ; % ** % +206 : 01100000 ; % ** % +207 : 00000000 ; % % + +210 : 00111100 ; % **** % +211 : 01100110 ; % ** ** % +212 : 01100110 ; % ** ** % +213 : 01100110 ; % ** ** % +214 : 01100110 ; % ** ** % +215 : 00111100 ; % **** % +216 : 00001110 ; % *** % +217 : 00000000 ; % % + +220 : 01111100 ; % ***** % +221 : 01100110 ; % ** ** % +222 : 01100110 ; % ** ** % +223 : 01111100 ; % ***** % +224 : 01111000 ; % **** % +225 : 01101100 ; % ** ** % +226 : 01100110 ; % ** ** % +227 : 00000000 ; % % + +230 : 00111100 ; % **** % +231 : 01100110 ; % ** ** % +232 : 01100000 ; % ** % +233 : 00111100 ; % **** % +234 : 00000110 ; % ** % +235 : 01100110 ; % ** ** % +236 : 00111100 ; % **** % +237 : 00000000 ; % % + +240 : 01111110 ; % ****** % +241 : 00011000 ; % ** % +242 : 00011000 ; % ** % +243 : 00011000 ; % ** % +244 : 00011000 ; % ** % +245 : 00011000 ; % ** % +246 : 00011000 ; % ** % +247 : 00000000 ; % % + +250 : 01100110 ; % ** ** % +251 : 01100110 ; % ** ** % +252 : 01100110 ; % ** ** % +253 : 01100110 ; % ** ** % +254 : 01100110 ; % ** ** % +255 : 01100110 ; % ** ** % +256 : 00111100 ; % **** % +257 : 00000000 ; % % + +260 : 01100110 ; % ** ** % +261 : 01100110 ; % ** ** % +262 : 01100110 ; % ** ** % +263 : 01100110 ; % ** ** % +264 : 01100110 ; % ** ** % +265 : 00111100 ; % **** % +266 : 00011000 ; % ** % +267 : 00000000 ; % % + +270 : 01100011 ; % ** ** % +271 : 01100011 ; % ** ** % +272 : 01100011 ; % ** ** % +273 : 01101011 ; % ** * ** % +274 : 01111111 ; % ******* % +275 : 01110111 ; % *** *** % +276 : 01100011 ; % ** ** % +277 : 00000000 ; % % + +300 : 01100110 ; % ** ** % +301 : 01100110 ; % ** ** % +302 : 00111100 ; % **** % +303 : 00011000 ; % ** % +304 : 00111100 ; % **** % +305 : 01100110 ; % ** ** % +306 : 01100110 ; % ** ** % +307 : 00000000 ; % % + +310 : 01100110 ; % ** ** % +311 : 01100110 ; % ** ** % +312 : 01100110 ; % ** ** % +313 : 00111100 ; % **** % +314 : 00011000 ; % ** % +315 : 00011000 ; % ** % +316 : 00011000 ; % ** % +317 : 00000000 ; % % + +320 : 01111110 ; % ****** % +321 : 00000110 ; % ** % +322 : 00001100 ; % ** % +323 : 00011000 ; % ** % +324 : 00110000 ; % ** % +325 : 01100000 ; % ** % +326 : 01111110 ; % ****** % +327 : 00000000 ; % % + +330 : 00111100 ; % **** % +331 : 00110000 ; % ** % +332 : 00110000 ; % ** % +333 : 00110000 ; % ** % +334 : 00110000 ; % ** % +335 : 00110000 ; % ** % +336 : 00111100 ; % **** % +337 : 00000000 ; % % + +340 : 00011000 ; % ** % +341 : 00011000 ; % ** % +342 : 00011000 ; % ** % +343 : 00011000 ; % ** % +344 : 01111110 ; % ****** % +345 : 00111100 ; % **** % +346 : 00011000 ; % ** % +347 : 00000000 ; % % + +350 : 00111100 ; % **** % +351 : 00001100 ; % ** % +352 : 00001100 ; % ** % +353 : 00001100 ; % ** % +354 : 00001100 ; % ** % +355 : 00001100 ; % ** % +356 : 00111100 ; % **** % +357 : 00000000 ; % % + +360 : 00000000 ; % % +361 : 00011000 ; % ** % +362 : 00111100 ; % **** % +363 : 01111110 ; % ****** % +364 : 00011000 ; % ** % +365 : 00011000 ; % ** % +366 : 00011000 ; % ** % +367 : 00011000 ; % ** % + +370 : 00000000 ; % % +371 : 00010000 ; % * % +372 : 00110000 ; % ** % +373 : 01111111 ; % ******* % +374 : 01111111 ; % ******* % +375 : 00110000 ; % ** % +376 : 00010000 ; % * % +377 : 00000000 ; % % + +400 : 00000000 ; % % +401 : 00000000 ; % % +402 : 00000000 ; % % +403 : 00000000 ; % % +404 : 00000000 ; % % +405 : 00000000 ; % % +406 : 00000000 ; % % +407 : 00000000 ; % % + +410 : 00011000 ; % ** % +411 : 00011000 ; % ** % +412 : 00011000 ; % ** % +413 : 00011000 ; % ** % +414 : 00000000 ; % % +415 : 00000000 ; % % +416 : 00011000 ; % ** % +417 : 00000000 ; % % + +420 : 01100110 ; % ** ** % +421 : 01100110 ; % ** ** % +422 : 01100110 ; % ** ** % +423 : 00000000 ; % % +424 : 00000000 ; % % +425 : 00000000 ; % % +426 : 00000000 ; % % +427 : 00000000 ; % % + +430 : 01100110 ; % ** ** % +431 : 01100110 ; % ** ** % +432 : 11111111 ; % ******** % +433 : 01100110 ; % ** ** % +434 : 11111111 ; % ******** % +435 : 01100110 ; % ** ** % +436 : 01100110 ; % ** ** % +437 : 00000000 ; % % + +440 : 00011000 ; % ** % +441 : 00111110 ; % ***** % +442 : 01100000 ; % ** % +443 : 00111100 ; % **** % +444 : 00000110 ; % ** % +445 : 01111100 ; % ***** % +446 : 00011000 ; % ** % +447 : 00000000 ; % % + +450 : 01100010 ; % ** * % +451 : 01100110 ; % ** ** % +452 : 00001100 ; % ** % +453 : 00011000 ; % ** % +454 : 00110000 ; % ** % +455 : 01100110 ; % ** ** % +456 : 01000110 ; % * ** % +457 : 00000000 ; % % + +460 : 00111100 ; % **** % +461 : 01100110 ; % ** ** % +462 : 00111100 ; % **** % +463 : 00111000 ; % *** % +464 : 01100111 ; % ** *** % +465 : 01100110 ; % ** ** % +466 : 00111111 ; % ****** % +467 : 00000000 ; % % + +470 : 00000110 ; % ** % +471 : 00001100 ; % ** % +472 : 00011000 ; % ** % +473 : 00000000 ; % % +474 : 00000000 ; % % +475 : 00000000 ; % % +476 : 00000000 ; % % +477 : 00000000 ; % % + +500 : 00001100 ; % ** % +501 : 00011000 ; % ** % +502 : 00110000 ; % ** % +503 : 00110000 ; % ** % +504 : 00110000 ; % ** % +505 : 00011000 ; % ** % +506 : 00001100 ; % ** % +507 : 00000000 ; % % + +510 : 00110000 ; % ** % +511 : 00011000 ; % ** % +512 : 00001100 ; % ** % +513 : 00001100 ; % ** % +514 : 00001100 ; % ** % +515 : 00011000 ; % ** % +516 : 00110000 ; % ** % +517 : 00000000 ; % % + +520 : 00000000 ; % % +521 : 01100110 ; % ** ** % +522 : 00111100 ; % **** % +523 : 11111111 ; % ******** % +524 : 00111100 ; % **** % +525 : 01100110 ; % ** ** % +526 : 00000000 ; % % +527 : 00000000 ; % % + +530 : 00000000 ; % % +531 : 00011000 ; % ** % +532 : 00011000 ; % ** % +533 : 01111110 ; % ****** % +534 : 00011000 ; % ** % +535 : 00011000 ; % ** % +536 : 00000000 ; % % +537 : 00000000 ; % % + +540 : 00000000 ; % % +541 : 00000000 ; % % +542 : 00000000 ; % % +543 : 00000000 ; % % +544 : 00000000 ; % % +545 : 00011000 ; % ** % +546 : 00011000 ; % ** % +547 : 00110000 ; % ** % + +550 : 00000000 ; % % +551 : 00000000 ; % % +552 : 00000000 ; % % +553 : 01111110 ; % ****** % +554 : 00000000 ; % % +555 : 00000000 ; % % +556 : 00000000 ; % % +557 : 00000000 ; % % + +560 : 00000000 ; % % +561 : 00000000 ; % % +562 : 00000000 ; % % +563 : 00000000 ; % % +564 : 00000000 ; % % +565 : 00011000 ; % ** % +566 : 00011000 ; % ** % +567 : 00000000 ; % % + +570 : 00000000 ; % % +571 : 00000011 ; % ** % +572 : 00000110 ; % ** % +573 : 00001100 ; % ** % +574 : 00011000 ; % ** % +575 : 00110000 ; % ** % +576 : 01100000 ; % ** % +577 : 00000000 ; % % + +600 : 00111100 ; % **** % +601 : 01100110 ; % ** ** % +602 : 01101110 ; % ** *** % +603 : 01110110 ; % *** ** % +604 : 01100110 ; % ** ** % +605 : 01100110 ; % ** ** % +606 : 00111100 ; % **** % +607 : 00000000 ; % % + +610 : 00011000 ; % ** % +611 : 00011000 ; % ** . % +612 : 00111000 ; % *** % +613 : 00011000 ; % ** % +614 : 00011000 ; % ** % +615 : 00011000 ; % ** % +616 : 01111110 ; % ****** % +617 : 00000000 ; % % + +620 : 00111100 ; % **** % +621 : 01100110 ; % ** ** % +622 : 00000110 ; % ** % +623 : 00001100 ; % ** % +624 : 00110000 ; % ** % +625 : 01100000 ; % ** % +626 : 01111110 ; % ****** % +627 : 00000000 ; % % + +630 : 00111100 ; % **** % +631 : 01100110 ; % ** ** % +632 : 00000110 ; % ** % +633 : 00011100 ; % *** % +634 : 00000110 ; % ** % +635 : 01100110 ; % ** ** % +636 : 00111100 ; % **** % +637 : 00000000 ; % % + +640 : 00000110 ; % ** % +641 : 00001110 ; % *** % +642 : 00011110 ; % **** % +643 : 01100110 ; % ** ** % +644 : 01111111 ; % ******* % +645 : 00000110 ; % ** % +646 : 00000110 ; % ** % +647 : 00000000 ; % % + +650 : 01111110 ; % ****** % +651 : 01100000 ; % ** % +652 : 01111100 ; % ***** % +653 : 00000110 ; % ** % +654 : 00000110 ; % ** % +655 : 01100110 ; % ** ** % +656 : 00111100 ; % **** % +657 : 00000000 ; % % + +660 : 00111100 ; % **** % +661 : 01100110 ; % ** ** % +662 : 01100000 ; % ** % +663 : 01111100 ; % ***** % +664 : 01100110 ; % ** ** % +665 : 01100110 ; % ** ** % +666 : 00111100 ; % **** % +667 : 00000000 ; % % + +670 : 01111110 ; % ****** % +671 : 01100110 ; % ** ** % +672 : 00001100 ; % ** % +673 : 00011000 ; % ** % +674 : 00011000 ; % ** % +675 : 00011000 ; % ** % +676 : 00011000 ; % ** % +677 : 00000000 ; % % + +700 : 00111100 ; % **** % +701 : 01100110 ; % ** ** % +702 : 01100110 ; % ** ** % +703 : 00111100 ; % **** % +704 : 01100110 ; % ** ** % +705 : 01100110 ; % ** ** % +706 : 00111100 ; % **** % +707 : 00000000 ; % % + +710 : 00111100 ; % **** % +711 : 01100110 ; % ** ** % +712 : 01100110 ; % ** ** % +713 : 00111110 ; % ***** % +714 : 00000110 ; % ** % +715 : 01100110 ; % ** ** % +716 : 00111100 ; % **** % +717 : 00000000 ; % % + +720 : 00011000 ; % ** % +721 : 00111100 ; % **** % +722 : 01100110 ; % ** ** % +723 : 01111110 ; % ****** % +724 : 01100110 ; % ** ** % +725 : 01100110 ; % ** ** % +726 : 01100110 ; % ** ** % +727 : 00000000 ; % % + +730 : 01111100 ; % ***** % +731 : 01100110 ; % ** ** % +732 : 01100110 ; % ** ** % +733 : 01111100 ; % ***** % +734 : 01100110 ; % ** ** % +735 : 01100110 ; % ** ** % +736 : 01111100 ; % ***** % +737 : 00000000 ; % % + +740 : 00111100 ; % **** % +741 : 01100110 ; % ** ** % +742 : 01100000 ; % ** % +743 : 01100000 ; % ** % +744 : 01100000 ; % ** % +745 : 01100110 ; % ** ** % +746 : 00111100 ; % **** % +747 : 00000000 ; % % + +750 : 01111000 ; % **** % +751 : 01101100 ; % ** ** % +752 : 01100110 ; % ** ** % +753 : 01100110 ; % ** ** % +754 : 01100110 ; % ** ** % +755 : 01101100 ; % ** ** % +756 : 01111000 ; % **** % +757 : 00000000 ; % % + +760 : 01111110 ; % ****** % +761 : 01100000 ; % ** % +762 : 01100000 ; % ** % +763 : 01111000 ; % **** % +764 : 01100000 ; % ** % +765 : 01100000 ; % ** % +766 : 01111110 ; % ****** % +767 : 00000000 ; % % + +770 : 01111110 ; % ****** % +771 : 01100000 ; % ** % +772 : 01100000 ; % ** % +773 : 01111000 ; % **** % +774 : 01100000 ; % ** % +775 : 01100000 ; % ** % +776 : 01100000 ; % ** % +777 : 00000000 ; % % + +End; Index: convrom.sh =================================================================== --- convrom.sh (nonexistent) +++ convrom.sh (revision 31) @@ -0,0 +1,41 @@ +#/bin/sh +file=rom.hex +echo "library IEEE; +use IEEE.std_logic_1164.all; +use ieee.numeric_std.all; + +entity rom is + port( + Clk : in std_logic; + A : in std_logic_vector(15 downto 0); + D : out std_logic_vector(7 downto 0) + ); +end rom; + +architecture rtl of rom is +begin + +process (Clk) +begin + if Clk'event and Clk = '1' then + case A is" > rom.vhd + + +ADDR=0 +for i in `cat $file | tr ',' ' '` +do + BL1="when x\"" + BL3="\" => D <= x\"$i\";" + hexaddr="000"`echo "obase=16;ibase=10;$ADDR" | bc` + fixhexaddr=${hexaddr:(-4)} + echo " "$BL1$fixhexaddr$BL3 >>rom.vhd + echo $fixhexaddr" "$i + let ADDR=ADDR+1 +done +echo " when others => D <= x\"00\"; + end case; + end if; +end process; +end;" >> rom.vhd + +cat rom.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.