OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /6809_6309_compatible_core/trunk
    from Rev 14 to Rev 15
    Reverse comparison

Rev 14 → Rev 15

/rtl/verilog/Changelog.txt
1,5 → 1,9
Changelong
----------
 
20.07.14
---------
- decoders.v : fix decoding of STD, LDD
16.07.14
--------
- decoders.v : decode of some opcodes modified to improve size & speed
/rtl/verilog/decoders.v
118,10 → 118,11
8'hcx, 8'hdx, 8'hex, 8'hfx:
case (opcode[3:0])
4'h1, 4'h5: path_left_addr = `RN_ACCB; // CMP, BIT
4'h3, 4'hc: begin path_left_addr = `RN_ACCD; dest_reg = `RN_ACCD; end
4'h7: begin path_left_addr = `RN_ACCB; dest_reg = `RN_MEM8; end // store to mem
4'hd: begin path_left_addr = `RN_ACCD; end // LDD
4'he: begin path_left_addr = `RN_U; dest_reg = `RN_U; end // LDU
4'h3: begin path_left_addr = `RN_ACCD; dest_reg = `RN_ACCD; end // addd
4'h7: begin path_left_addr = `RN_ACCB; dest_reg = `RN_MEM8; end // stb
4'hc: begin path_left_addr = `RN_ACCD; dest_reg = `RN_ACCD; end // ldd
4'hd: begin path_left_addr = `RN_ACCD; dest_reg = `RN_MEM16; end // STD
4'he: begin dest_reg = `RN_U; end // LDU
4'hf: begin path_left_addr = `RN_U; dest_reg = `RN_MEM16; end // STU
default: begin path_left_addr = `RN_ACCB; dest_reg = `RN_ACCB; end
endcase
128,16 → 129,25
endcase
casex (opcode) // right arm
// 8x and Cx
8'b1x00_000x, 8'b1x00_0010: path_right_addr = `RN_IMM8; // sub, cmp, scb
8'b1x00_0011, 8'b1x00_11x0: path_right_addr = `RN_IMM16; // cmpd, cmpx, ldx
8'b1x00_000x, 8'b1x00_0010, // sub, cmp, scb
8'b1x00_010x, 8'b1x00_0110, 8'b1x00_10xx: path_right_addr = `RN_IMM8;
// 83, C3, 8C, CC, 8E, CE
8'b1x00_0011, 8'b1x00_11x0: path_right_addr = `RN_IMM16; // cmpd, cmpx, ldx
// 9, A, B, D, E, F
8'b1x01_000x, 8'b1x01_0010: path_right_addr = `RN_MEM8;
8'b1x01_0011, 8'b1x01_11x0: path_right_addr = `RN_MEM16; // cmpd, cmpx, ldx
8'b1x01_010x, 8'b1x01_0110, 8'b1x01_10xx: path_right_addr = `RN_MEM8;
8'b1x1x_000x, 8'b1x1x_0010: path_right_addr = `RN_MEM8;
8'b1x1x_0011, 8'b1x1x_11x0: path_right_addr = `RN_MEM16;
8'b1x01_000x, 8'b1x01_0010, // x0, x1, x2: sub cmp, scb
8'b1x01_010x, 8'b1x01_0110, 8'b1x01_10xx,
8'b1x1x_000x, 8'b1x1x_0010,
8'b1x1x_010x, 8'b1x1x_0110, 8'b1x1x_10xx: path_right_addr = `RN_MEM8;
// 9x, Ax, Bx, Dx, Ex, Fx
8'h93, 8'ha3, 8'hb3, // subd
8'hd3, 8'he3, 8'hf3, // addd
8'h9c, 8'hac, 8'hbc, // cmpx
8'hdc, 8'hec, 8'hfc, // ldd
//8'hdd, 8'hed, 8'hfd, // std
8'h9e, 8'hae, 8'hbe, // ldx
8'hde, 8'hee, 8'hfe, // ldu
//8'h9f, 8'haf, 8'hbf, // stx
8'hdf, 8'hef, 8'hff: path_right_addr = `RN_MEM16;// stu
endcase
end
// latched versions are used to fetch regsiters
360,7 → 370,7
8'b1xxx_1011: alu_opcode = `ADD;
8'b10xx_1100: alu_opcode = `SUB; // CMP
8'b11xx_1100: alu_opcode = `LD;
8'b11xx_1101: alu_opcode = `LD;
8'b11xx_1101: alu_opcode = `ST;
8'b1xxx_1110: alu_opcode = `LD;
8'b1xxx_1111: alu_opcode = `ST;
/sim/dump.vcd
1,5 → 1,5
$date
Thu Jul 17 14:00:51 2014
Mon Jul 21 06:30:51 2014
$end
$version
Icarus Verilog
72301,7 → 72301,6
15$
b1 &$
b1 D
1G
b11 {#
1I
b11 =
72309,9 → 72308,9
b111 !$
b111 E
b111 y#
b11 }#
b11 C
b11 x#
b1111 }#
b1111 C
b1111 x#
b1110 $$
b11001110 A$
b1111111111111111 J#
72338,21 → 72337,21
0(
0-
#24595
b0 ?"
b111000000000 d
b111000000000 2"
b111000000000 b#
b111000000000 _
b11111111 ?"
b1111111111111111 d
b1111111111111111 2"
b1111111111111111 b#
b1111111111111111 _
b11 g
b11 R#
b11 c
b11 D#
b1111 c
b1111 D#
b1000000001111111 e
b1000000001111111 3"
b11 |#
b11 7
b11 ~#
b11 8
b1111 ~#
b1111 8
b111 "$
b111 9
b111 E#
72364,30 → 72363,28
0(
0-
#24605
0N"
b110 Q"
b1000000001111111 A#
0^"
b1000000001111111 f
b1000000001111111 8#
0p"
0I"
0Y"
0L"
b10001001 :"
b10001001 *
b10001001 5#
b1000000001111111 ;"
b1000000001111111 +
b11111111 O"
b11111111 P"
b0 v"
b0 Z"
b1111111 q"
b1111111 H"
0o"
0G"
b0 O"
b0 P"
b11111111 v"
b11111111 Z"
1n"
1F"
b1111110 q"
b1111110 H"
0p"
0I"
b1111111 c"
b0 R"
b101 R"
b1001 %#
b1001 4"
b1000000001111111 )#
72394,27 → 72391,28
b1000000001111111 8"
1'#
b101 K#
b0 E"
b0 f"
b0 j"
b0 r"
b0 W"
b0 V"
b1000111001111111 4#
b1000111001111111 z"
b11111111 E"
b11111111 f"
b11111111 j"
b11111111 r"
b1 W"
b1 V"
12#
1y"
b1000000001111110 4#
b1000000001111110 z"
b1000000001111111 (#
b101000011 J#
b11101110001 C"
b11101110001 7"
b11101110001 A"
b11101110001 "#
b0 B"
b111111010000001 C"
b111111010000001 7"
b111111010000001 A"
b111111010000001 "#
b1000000001111111 ="
b1000000001111111 |"
b1000000001111111 0#
b111000000000 <"
b111000000000 x"
b111000000000 /#
b1111111111111111 <"
b1111111111111111 x"
b1111111111111111 /#
1q
1?#
b110001 0"
72440,7 → 72438,6
b100000 i#
b100000 ^
b100000 <#
b1000000001111111 (#
b1111111111111111 J#
b101000011 Y#
b101000100 F#
72448,10 → 72445,6
b101000011 `
b101000011 H#
b1000000001111111 ,#
b0 C"
b0 7"
b0 A"
b0 "#
b110010 0"
b110010 4
1k
72495,8 → 72488,8
b110101111111 8"
0'#
b101 K#
b1101101111111 4#
b1101101111111 z"
b110101111110 4#
b110101111110 z"
b110101111111 (#
b101000100 J#
b110101111111 ="
72572,8 → 72565,8
b1011001 c"
b110101011001 ;"
b110101011001 +
b1011001 q"
b1011001 H"
b1011000 q"
b1011000 H"
b1011001 i"
b1011001 T"
b110101011001 )#
72582,10 → 72575,15
b1011001 g"
b1011001 k"
b1011001 s"
b1101101011001 4#
b1101101011001 z"
b110101011000 4#
b110101011000 z"
b110101011001 (#
1N#
b101101011100001 C"
b101101011100001 7"
b101101011100001 A"
b101101011100001 "#
b10100110111 B"
b110101011001 ="
b110101011001 |"
b110101011001 0#
72599,15 → 72597,15
0(
0-
#24675
b1011001 ?"
b110101011001 d
b110101011001 2"
b110101011001 b#
b110101011001 _
b110101011001 (#
0N#
b110101011001 [#
b110101011001 p#
b110101011001 ,#
b101100010100111 C"
b101100010100111 7"
b101100010100111 A"
b101100010100111 "#
b1001 0"
b1001 4
0("
72618,40 → 72616,6
0(
0-
#24685
b1011 Q"
1p"
1I"
1N"
1Y"
b10100110 O"
b10100110 P"
b101100 v"
b101100 Z"
b10110010 q"
b10110010 H"
1o"
1G"
b1011001 c"
b1011001 R"
b1011001 E"
b1011001 f"
b1011001 j"
b1011001 r"
b10100111 W"
b10100111 V"
13#
1{"
b1101010110010 4#
b1101010110010 z"
b110101011001 (#
b1101111010000 C"
b1101111010000 7"
b1101111010000 A"
b1101111010000 "#
b1100100001 B"
b110101011001 <"
b110101011001 x"
b110101011001 /#
b101000100 i
b101000100 !
b101000100 ,
72670,12 → 72634,7
b10001110 .
b10001110 G$
b101 K#
b110101011001 (#
b101000101 J#
b1111011110001 C"
b1111011110001 7"
b1111011110001 A"
b1111011110001 "#
b1011 0"
b1011 4
1q
72701,6 → 72660,10
15$
b1 &$
b1 D
b1 }#
1G
b1 C
b1 x#
b1 {#
1I
b1 =
72708,10 → 72671,6
b111 !$
b111 E
b111 y#
b1 }#
1G
b1 C
b1 x#
b10001110 A$
b1111111111111111 J#
b101000101 Y#
72759,13 → 72718,15
0-
#24725
b1000 Q"
0p"
0I"
1L"
1N"
0Y"
b11011111 O"
b11011111 P"
b10000 v"
b10000 Z"
0n"
0F"
b1111001 q"
b1111001 H"
0o"
72779,16 → 72740,16
b100000 r"
b11100000 W"
b11100000 V"
03#
0{"
02#
0y"
b110101111001 4#
b110101111001 z"
b110101011001 (#
b101000110 J#
b111001000001 C"
b111001000001 7"
b111001000001 A"
b111001000001 "#
b1000001010111 C"
b1000001010111 7"
b1000001010111 A"
b1000001010111 "#
b0 B"
b100000 <"
b100000 x"
/sim/p6809.out
4,726 → 4,726
:vpi_module "system";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_008334B0 .scope module, "tb" "tb" 2 11;
S_00912E28 .scope module, "tb" "tb" 2 11;
.timescale -9 -9;
L_00874D70 .functor BUFZ 16, v00A07E08_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00874DA8 .functor BUFZ 8, v00A07E60_0, C4<00000000>, C4<00000000>, C4<00000000>;
v00A0A3B8_0 .net "addr", 15 0, v00A07E08_0; 1 drivers
v00A0A410_0 .net "addr_o", 15 0, L_00874D70; 1 drivers
v00A0A468_0 .var "clk", 0 0;
v00A0A4C0_0 .net "data_i", 7 0, v008561C8_0; 1 drivers
v00A0A518_0 .net "data_o", 7 0, v00A07E60_0; 1 drivers
v00A0A570_0 .net "data_o_o", 7 0, L_00874DA8; 1 drivers
v00A0A5C8_0 .net "oe", 0 0, v00A07EB8_0; 1 drivers
v00A0A620_0 .var "reset", 0 0;
v00A0A678_0 .net "we", 0 0, v00A07F10_0; 1 drivers
L_00E90200 .reduce/nor v00A07EB8_0;
L_00E90258 .reduce/nor v00A07F10_0;
S_00832ED8 .scope module, "cpu" "MC6809_cpu" 2 23, 3 10, S_008334B0;
L_009537C0 .functor BUFZ 16, v00AE6858_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_009537F8 .functor BUFZ 8, v00AE68B0_0, C4<00000000>, C4<00000000>, C4<00000000>;
v00AE8E08_0 .net "addr", 15 0, v00AE6858_0; 1 drivers
v00AE8E60_0 .net "addr_o", 15 0, L_009537C0; 1 drivers
v00AE8EB8_0 .var "clk", 0 0;
v00AE8F10_0 .net "data_i", 7 0, v00935C18_0; 1 drivers
v00AE8F68_0 .net "data_o", 7 0, v00AE68B0_0; 1 drivers
v00AE8FC0_0 .net "data_o_o", 7 0, L_009537F8; 1 drivers
v00AE9018_0 .net "oe", 0 0, v00AE6908_0; 1 drivers
v00AE9070_0 .var "reset", 0 0;
v00AE90C8_0 .net "we", 0 0, v00AE6960_0; 1 drivers
L_00F6E168 .reduce/nor v00AE6908_0;
L_00F6E1C0 .reduce/nor v00AE6960_0;
S_00912850 .scope module, "cpu" "MC6809_cpu" 2 23, 3 10, S_00912E28;
.timescale -9 -9;
L_00874E18 .functor AND 1, L_00A0A6D0, L_00A0A728, C4<1>, C4<1>;
L_00874EF8 .functor AND 1, L_00A0A780, L_00A0A7D8, C4<1>, C4<1>;
L_00874FD8 .functor AND 1, L_00A0A830, L_00A0A888, C4<1>, C4<1>;
L_00E8E488 .functor AND 1, L_00E8FC88, L_00A0F018, C4<1>, C4<1>;
L_00874D38 .functor BUFZ 1, v00A0A620_0, C4<0>, C4<0>, C4<0>;
L_00E8FE80 .functor BUFZ 6, v00A0A360_0, C4<000000>, C4<000000>, C4<000000>;
v00A06C50_0 .net *"_s1", 0 0, L_00A0A6D0; 1 drivers
v00A06CA8_0 .net *"_s13", 0 0, L_00A0A830; 1 drivers
v00A06D00_0 .net *"_s15", 0 0, L_00A0A888; 1 drivers
v00A06D58_0 .net *"_s24", 8 0, L_00A0EF68; 1 drivers
v00A06DB0_0 .net *"_s27", 2 0, C4<000>; 1 drivers
v00A06E08_0 .net *"_s28", 8 0, C4<000010010>; 1 drivers
v00A06E60_0 .net *"_s3", 0 0, L_00A0A728; 1 drivers
v00A06EB8_0 .net *"_s30", 0 0, L_00A0F018; 1 drivers
v00A06F10_0 .net *"_s7", 0 0, L_00A0A780; 1 drivers
v00A06F68_0 .net *"_s9", 0 0, L_00A0A7D8; 1 drivers
v00A06FC0_0 .net "alu_o_CCR", 7 0, v00A06728_0; 1 drivers
v00A07018_0 .net "alu_o_result", 15 0, v00A06A98_0; 1 drivers
v00A07070_0 .alias "cpu_addr_o", 15 0, v00A0A3B8_0;
v00A070C8_0 .net "cpu_clk", 0 0, v00A0A468_0; 1 drivers
v00A07120_0 .alias "cpu_data_i", 7 0, v00A0A4C0_0;
v00A07178_0 .alias "cpu_data_o", 7 0, v00A0A518_0;
v00A071D0_0 .net "cpu_firq_n", 0 0, C4<z>; 0 drivers
v00A07228_0 .net "cpu_irq_n", 0 0, C4<z>; 0 drivers
v00A07280_0 .net "cpu_nmi_n", 0 0, C4<z>; 0 drivers
v00A07360_0 .alias "cpu_oe_o", 0 0, v00A0A5C8_0;
v00A072D8_0 .net "cpu_reset", 0 0, v00A0A620_0; 1 drivers
v00A073B8_0 .net "cpu_state_o", 5 0, L_00E8FE80; 1 drivers
v00A07410_0 .alias "cpu_we_o", 0 0, v00A0A678_0;
v00A07468_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v00A074C0_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v00A07518_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v00A07570_0 .var "datamux_o_dest", 15 0;
v00A075C8_0 .var "datamux_o_dest_reg_addr", 3 0;
v00A07620_0 .net "debug_clk", 0 0, C4<z>; 0 drivers
v00A07678_0 .net "debug_data_o", 0 0, C4<0>; 1 drivers
v00A076D0_0 .net "dec_lo_dest_reg_addr", 3 0, v00E89738_0; 1 drivers
v00A07728_0 .net "dec_lo_left_path_addr", 3 0, v00E899D0_0; 1 drivers
v00A07780_0 .net "dec_lo_right_path_addr", 3 0, v00E89A80_0; 1 drivers
v00A077D8_0 .net "dec_o_alu_opcode", 4 0, v00856900_0; 1 drivers
v00A07830_0 .net "dec_o_alu_size", 0 0, L_00A0F228; 1 drivers
v00A07888_0 .net "dec_o_cond_taken", 0 0, v008564E0_0; 1 drivers
v00A078E0_0 .net "dec_o_dest_reg_addr", 3 0, L_00E8F978; 1 drivers
v00A07938_0 .net "dec_o_ea_indirect", 0 0, L_00E90048; 1 drivers
v00A07990_0 .net "dec_o_ea_ofs0", 0 0, v00E889D0_0; 1 drivers
v00A079E8_0 .net "dec_o_ea_ofs16", 0 0, v00E88A28_0; 1 drivers
v00A07A40_0 .net "dec_o_ea_ofs8", 0 0, v00E88A80_0; 1 drivers
v00A07A98_0 .net "dec_o_ea_wpost", 0 0, v00E88AD8_0; 1 drivers
v00A07AF0_0 .net "dec_o_left_path_addr", 3 0, L_00E8F908; 1 drivers
v00A07B48_0 .net "dec_o_p1_mode", 2 0, v00E88B30_0; 1 drivers
v00A07BA0_0 .net "dec_o_right_path_addr", 3 0, v00E89A28_0; 1 drivers
v00A07BF8_0 .net "dec_o_right_path_mod", 1 0, v00856958_0; 1 drivers
v00A07C50_0 .net "dec_o_source_size", 0 0, L_00A0EFC0; 1 drivers
v00A07CA8_0 .net "dec_o_use_s", 0 0, v00E89268_0; 1 drivers
v00A07D00_0 .net "dec_o_wdest", 0 0, L_00A0F070; 1 drivers
v00A07D58_0 .net "dec_o_write_flags", 0 0, L_00E8FC88; 1 drivers
v00A07DB0_0 .var "k_clear_e", 0 0;
v00A07E08_0 .var "k_cpu_addr", 15 0;
v00A07E60_0 .var "k_cpu_data_o", 7 0;
v00A07EB8_0 .var "k_cpu_oe", 0 0;
v00A07F10_0 .var "k_cpu_we", 0 0;
v00A07F68_0 .var "k_dec_su", 0 0;
v00A07FC0_0 .var "k_eahi", 7 0;
v00A08018_0 .var "k_ealo", 7 0;
v00A08070_0 .net "k_firq_req", 0 0, L_00874EF8; 1 drivers
v00A080C8_0 .var "k_forced_mem_size", 0 0;
v00A08120_0 .var "k_inc_pc", 0 0;
v00A08178_0 .var "k_inc_su", 0 0;
v00A081D0_0 .var "k_ind_ea", 7 0;
v00A08228_0 .var "k_indirect_loaded", 0 0;
v00A08280_0 .net "k_irq_req", 0 0, L_00874FD8; 1 drivers
v00A082D8_0 .var "k_mem_dest", 1 0;
v00A08360_0 .var "k_memhi", 7 0;
v00A083B8_0 .var "k_memlo", 7 0;
v00A08410_0 .var "k_mul_cnt", 0 0;
v00A08468_0 .var "k_new_pc", 15 0;
v00A084C0_0 .net "k_nmi_req", 0 0, L_00874E18; 1 drivers
v00A08518_0 .var "k_ofshi", 7 0;
v00A08570_0 .var "k_ofslo", 7 0;
v00A085C8_0 .var "k_opcode", 7 0;
v00A08620_0 .var "k_p2_valid", 0 0;
v00A08678_0 .var "k_p3_valid", 0 0;
v00A086D0_0 .var "k_postbyte", 7 0;
v00A08728_0 .var "k_pp_active_reg", 3 0;
v00A08780_0 .var "k_pp_regs", 7 0;
v00A087D8_0 .var "k_reg_firq", 2 0;
v00A08830_0 .var "k_reg_irq", 2 0;
v00A08888_0 .var "k_reg_nmi", 2 0;
v00A088E0_0 .net "k_reset", 0 0, L_00874D38; 1 drivers
v00A08938_0 .var "k_set_e", 0 0;
v00A08990_0 .var "k_write_dest", 0 0;
v00A089E8_0 .var "k_write_exg", 0 0;
v00A08A40_0 .var "k_write_pc", 0 0;
v00A08A98_0 .var "k_write_post_incdec", 0 0;
v00A08AF0_0 .var "k_write_tfr", 0 0;
v00A08B48_0 .var "next_mem_state", 5 0;
v00A08BA0_0 .var "next_push_state", 5 0;
v00A08BF8_0 .var "next_state", 5 0;
v00A08C50_0 .net "op_CWAI", 0 0, v00E88B88_0; 1 drivers
v00A08CA8_0 .net "op_EXG", 0 0, v00E88BE0_0; 1 drivers
v00A08D00_0 .net "op_JMP", 0 0, v00E88C38_0; 1 drivers
v00A08D58_0 .net "op_JSR", 0 0, v00E88C90_0; 1 drivers
v00A08DB0_0 .net "op_LEA", 0 0, v00E88CE8_0; 1 drivers
v00A08E08_0 .net "op_MUL", 0 0, v00E88D40_0; 1 drivers
v00A08E60_0 .net "op_PULL", 0 0, v00E88D98_0; 1 drivers
v00A08EB8_0 .net "op_PUSH", 0 0, v00E88DF0_0; 1 drivers
v00A08F10_0 .net "op_RTI", 0 0, v00E88E48_0; 1 drivers
v00A08F68_0 .net "op_RTS", 0 0, v00E88EA0_0; 1 drivers
v00A08FC0_0 .net "op_SWI", 0 0, v00E88EF8_0; 1 drivers
v00A09018_0 .net "op_SYNC", 0 0, v00E88F50_0; 1 drivers
v00A09070_0 .net "op_TFR", 0 0, v00E88FA8_0; 1 drivers
v00A090C8_0 .net "regs_o_CCR", 7 0, L_00A0ECA8; 1 drivers
v00A09120_0 .net "regs_o_dp", 7 0, v00E8A2C0_0; 1 drivers
v00A09178_0 .net "regs_o_eamem_addr", 15 0, L_00E8DFF0; 1 drivers
v00A091D0_0 .net "regs_o_left_path_data", 15 0, v00E8AD98_0; 1 drivers
v00A09228_0 .net "regs_o_pc", 15 0, L_00A0F8A0; 1 drivers
v00A09280_0 .net "regs_o_right_path_data", 15 0, v00E8AE48_0; 1 drivers
v00A092D8_0 .net "regs_o_su", 15 0, L_00A0ED00; 1 drivers
v00A0A360_0 .var "state", 5 0;
E_00824BE0 .event posedge, v00A088E0_0, v00E89688_0;
E_00824620/0 .event edge, v00E89A80_0, v00A083B8_0, v00A08360_0, v00856958_0;
E_00824620/1 .event edge, v00E8AE48_0;
E_00824620 .event/or E_00824620/0, E_00824620/1;
E_008248C0/0 .event edge, v00A08360_0, v00A083B8_0, v00A082D8_0, v00E88B30_0;
E_008248C0/1 .event edge, v00E8AF50_0, v00A07FC0_0, v00A08018_0, v00E8AEF8_0;
E_008248C0/2 .event edge, v00E88978_0, v00E8A898_0;
E_008248C0 .event/or E_008248C0/0, E_008248C0/1, E_008248C0/2;
E_008248E0/0 .event edge, v00E899D0_0, v00A08360_0, v00A083B8_0, v00E88CE8_0;
E_008248E0/1 .event edge, v00E88978_0, v00E8A898_0, v00E8AD98_0;
E_008248E0 .event/or E_008248E0/0, E_008248E0/1;
E_00824860/0 .event edge, v00E88D98_0, v00E88EA0_0, v00E88E48_0, v00A08360_0;
E_00824860/1 .event edge, v00A083B8_0, v00E88CE8_0, v00E88978_0, v00E8A898_0;
E_00824860/2 .event edge, v00A06A98_0;
E_00824860 .event/or E_00824860/0, E_00824860/1, E_00824860/2;
E_00821BC0 .event edge, v00A08728_0, v00E89738_0;
E_00824880 .event edge, v00A08728_0, v00E899D0_0;
L_00A0A6D0 .part v00A08888_0, 2, 1;
L_00A0A728 .part v00A08888_0, 1, 1;
L_00A0A780 .part v00A087D8_0, 2, 1;
L_00A0A7D8 .part v00A087D8_0, 1, 1;
L_00A0A830 .part v00A08830_0, 2, 1;
L_00A0A888 .part v00A08830_0, 1, 1;
L_00A0EEB8 .part v00A086D0_0, 4, 4;
L_00A0EF10 .concat [ 8 8 0 0], v00A08570_0, v00A08518_0;
L_00A0EF68 .concat [ 6 3 0 0], v00A0A360_0, C4<000>;
L_00A0F018 .cmp/eq 9, L_00A0EF68, C4<000010010>;
S_00832218 .scope module, "alu" "alu" 3 119, 4 15, S_00832ED8;
L_00953868 .functor AND 1, L_00AE9120, L_00AE9178, C4<1>, C4<1>;
L_00953948 .functor AND 1, L_00AE91D0, L_00AE9228, C4<1>, C4<1>;
L_00953A28 .functor AND 1, L_00AE9280, L_00AE92D8, C4<1>, C4<1>;
L_00AEEA48 .functor AND 1, L_00AEF248, L_00AEDA68, C4<1>, C4<1>;
L_00953788 .functor BUFZ 1, v00AE9070_0, C4<0>, C4<0>, C4<0>;
L_00AEF440 .functor BUFZ 6, v00AE8DB0_0, C4<000000>, C4<000000>, C4<000000>;
v00AE56A0_0 .net *"_s1", 0 0, L_00AE9120; 1 drivers
v00AE56F8_0 .net *"_s13", 0 0, L_00AE9280; 1 drivers
v00AE5750_0 .net *"_s15", 0 0, L_00AE92D8; 1 drivers
v00AE57A8_0 .net *"_s24", 8 0, L_00AED9B8; 1 drivers
v00AE5800_0 .net *"_s27", 2 0, C4<000>; 1 drivers
v00AE5858_0 .net *"_s28", 8 0, C4<000010010>; 1 drivers
v00AE58B0_0 .net *"_s3", 0 0, L_00AE9178; 1 drivers
v00AE5908_0 .net *"_s30", 0 0, L_00AEDA68; 1 drivers
v00AE5960_0 .net *"_s7", 0 0, L_00AE91D0; 1 drivers
v00AE59B8_0 .net *"_s9", 0 0, L_00AE9228; 1 drivers
v00AE5A10_0 .net "alu_o_CCR", 7 0, v00AE5178_0; 1 drivers
v00AE5A68_0 .net "alu_o_result", 15 0, v00AE54E8_0; 1 drivers
v00AE5AC0_0 .alias "cpu_addr_o", 15 0, v00AE8E08_0;
v00AE5B18_0 .net "cpu_clk", 0 0, v00AE8EB8_0; 1 drivers
v00AE5B70_0 .alias "cpu_data_i", 7 0, v00AE8F10_0;
v00AE5BC8_0 .alias "cpu_data_o", 7 0, v00AE8F68_0;
v00AE5C20_0 .net "cpu_firq_n", 0 0, C4<z>; 0 drivers
v00AE5C78_0 .net "cpu_irq_n", 0 0, C4<z>; 0 drivers
v00AE5CD0_0 .net "cpu_nmi_n", 0 0, C4<z>; 0 drivers
v00AE5DB0_0 .alias "cpu_oe_o", 0 0, v00AE9018_0;
v00AE5D28_0 .net "cpu_reset", 0 0, v00AE9070_0; 1 drivers
v00AE5E08_0 .net "cpu_state_o", 5 0, L_00AEF440; 1 drivers
v00AE5E60_0 .alias "cpu_we_o", 0 0, v00AE90C8_0;
v00AE5EB8_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v00AE5F10_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v00AE5F68_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v00AE5FC0_0 .var "datamux_o_dest", 15 0;
v00AE6018_0 .var "datamux_o_dest_reg_addr", 3 0;
v00AE6070_0 .net "debug_clk", 0 0, C4<z>; 0 drivers
v00AE60C8_0 .net "debug_data_o", 0 0, C4<0>; 1 drivers
v00AE6120_0 .net "dec_lo_dest_reg_addr", 3 0, v00F69738_0; 1 drivers
v00AE6178_0 .net "dec_lo_left_path_addr", 3 0, v00F699D0_0; 1 drivers
v00AE61D0_0 .net "dec_lo_right_path_addr", 3 0, v00F69A80_0; 1 drivers
v00AE6228_0 .net "dec_o_alu_opcode", 4 0, v00936350_0; 1 drivers
v00AE6280_0 .net "dec_o_alu_size", 0 0, L_00AEDC78; 1 drivers
v00AE62D8_0 .net "dec_o_cond_taken", 0 0, v00935F30_0; 1 drivers
v00AE6330_0 .net "dec_o_dest_reg_addr", 3 0, L_00AEEF38; 1 drivers
v00AE6388_0 .net "dec_o_ea_indirect", 0 0, L_00F6DFB0; 1 drivers
v00AE63E0_0 .net "dec_o_ea_ofs0", 0 0, v00F689D0_0; 1 drivers
v00AE6438_0 .net "dec_o_ea_ofs16", 0 0, v00F68A28_0; 1 drivers
v00AE6490_0 .net "dec_o_ea_ofs8", 0 0, v00F68A80_0; 1 drivers
v00AE64E8_0 .net "dec_o_ea_wpost", 0 0, v00F68AD8_0; 1 drivers
v00AE6540_0 .net "dec_o_left_path_addr", 3 0, L_00AEEEC8; 1 drivers
v00AE6598_0 .net "dec_o_p1_mode", 2 0, v00F68B30_0; 1 drivers
v00AE65F0_0 .net "dec_o_right_path_addr", 3 0, v00F69A28_0; 1 drivers
v00AE6648_0 .net "dec_o_right_path_mod", 1 0, v009363A8_0; 1 drivers
v00AE66A0_0 .net "dec_o_source_size", 0 0, L_00AEDA10; 1 drivers
v00AE66F8_0 .net "dec_o_use_s", 0 0, v00F69268_0; 1 drivers
v00AE6750_0 .net "dec_o_wdest", 0 0, L_00AEDAC0; 1 drivers
v00AE67A8_0 .net "dec_o_write_flags", 0 0, L_00AEF248; 1 drivers
v00AE6800_0 .var "k_clear_e", 0 0;
v00AE6858_0 .var "k_cpu_addr", 15 0;
v00AE68B0_0 .var "k_cpu_data_o", 7 0;
v00AE6908_0 .var "k_cpu_oe", 0 0;
v00AE6960_0 .var "k_cpu_we", 0 0;
v00AE69B8_0 .var "k_dec_su", 0 0;
v00AE6A10_0 .var "k_eahi", 7 0;
v00AE6A68_0 .var "k_ealo", 7 0;
v00AE6AC0_0 .net "k_firq_req", 0 0, L_00953948; 1 drivers
v00AE6B18_0 .var "k_forced_mem_size", 0 0;
v00AE6B70_0 .var "k_inc_pc", 0 0;
v00AE6BC8_0 .var "k_inc_su", 0 0;
v00AE6C20_0 .var "k_ind_ea", 7 0;
v00AE6C78_0 .var "k_indirect_loaded", 0 0;
v00AE6CD0_0 .net "k_irq_req", 0 0, L_00953A28; 1 drivers
v00AE6D28_0 .var "k_mem_dest", 1 0;
v00AE6DB0_0 .var "k_memhi", 7 0;
v00AE6E08_0 .var "k_memlo", 7 0;
v00AE6E60_0 .var "k_mul_cnt", 0 0;
v00AE6EB8_0 .var "k_new_pc", 15 0;
v00AE6F10_0 .net "k_nmi_req", 0 0, L_00953868; 1 drivers
v00AE6F68_0 .var "k_ofshi", 7 0;
v00AE6FC0_0 .var "k_ofslo", 7 0;
v00AE7018_0 .var "k_opcode", 7 0;
v00AE7070_0 .var "k_p2_valid", 0 0;
v00AE70C8_0 .var "k_p3_valid", 0 0;
v00AE7120_0 .var "k_postbyte", 7 0;
v00AE7178_0 .var "k_pp_active_reg", 3 0;
v00AE71D0_0 .var "k_pp_regs", 7 0;
v00AE7228_0 .var "k_reg_firq", 2 0;
v00AE7280_0 .var "k_reg_irq", 2 0;
v00AE72D8_0 .var "k_reg_nmi", 2 0;
v00AE7330_0 .net "k_reset", 0 0, L_00953788; 1 drivers
v00AE7388_0 .var "k_set_e", 0 0;
v00AE73E0_0 .var "k_write_dest", 0 0;
v00AE7438_0 .var "k_write_exg", 0 0;
v00AE7490_0 .var "k_write_pc", 0 0;
v00AE74E8_0 .var "k_write_post_incdec", 0 0;
v00AE7540_0 .var "k_write_tfr", 0 0;
v00AE7598_0 .var "next_mem_state", 5 0;
v00AE75F0_0 .var "next_push_state", 5 0;
v00AE7648_0 .var "next_state", 5 0;
v00AE76A0_0 .net "op_CWAI", 0 0, v00F68B88_0; 1 drivers
v00AE76F8_0 .net "op_EXG", 0 0, v00F68BE0_0; 1 drivers
v00AE7750_0 .net "op_JMP", 0 0, v00F68C38_0; 1 drivers
v00AE77A8_0 .net "op_JSR", 0 0, v00F68C90_0; 1 drivers
v00AE7800_0 .net "op_LEA", 0 0, v00F68CE8_0; 1 drivers
v00AE7858_0 .net "op_MUL", 0 0, v00F68D40_0; 1 drivers
v00AE78B0_0 .net "op_PULL", 0 0, v00F68D98_0; 1 drivers
v00AE7908_0 .net "op_PUSH", 0 0, v00F68DF0_0; 1 drivers
v00AE7960_0 .net "op_RTI", 0 0, v00F68E48_0; 1 drivers
v00AE79B8_0 .net "op_RTS", 0 0, v00F68EA0_0; 1 drivers
v00AE7A10_0 .net "op_SWI", 0 0, v00F68EF8_0; 1 drivers
v00AE7A68_0 .net "op_SYNC", 0 0, v00F68F50_0; 1 drivers
v00AE7AC0_0 .net "op_TFR", 0 0, v00F68FA8_0; 1 drivers
v00AE7B18_0 .net "regs_o_CCR", 7 0, L_00AED6F8; 1 drivers
v00AE7B70_0 .net "regs_o_dp", 7 0, v00F6A2C0_0; 1 drivers
v00AE7BC8_0 .net "regs_o_eamem_addr", 15 0, L_00AEE5B0; 1 drivers
v00AE7C20_0 .net "regs_o_left_path_data", 15 0, v00F6AD98_0; 1 drivers
v00AE7C78_0 .net "regs_o_pc", 15 0, L_00AEE2F0; 1 drivers
v00AE7CD0_0 .net "regs_o_right_path_data", 15 0, v00F6AE48_0; 1 drivers
v00AE7D28_0 .net "regs_o_su", 15 0, L_00AED750; 1 drivers
v00AE8DB0_0 .var "state", 5 0;
E_00903378 .event posedge, v00AE7330_0, v00F69688_0;
E_00902DB8/0 .event edge, v00F69A80_0, v00AE6E08_0, v00AE6DB0_0, v009363A8_0;
E_00902DB8/1 .event edge, v00F6AE48_0;
E_00902DB8 .event/or E_00902DB8/0, E_00902DB8/1;
E_00903058/0 .event edge, v00AE6DB0_0, v00AE6E08_0, v00AE6D28_0, v00F68B30_0;
E_00903058/1 .event edge, v00F6AF50_0, v00AE6A10_0, v00AE6A68_0, v00F6AEF8_0;
E_00903058/2 .event edge, v00F68978_0, v00F6A898_0;
E_00903058 .event/or E_00903058/0, E_00903058/1, E_00903058/2;
E_00903078/0 .event edge, v00F699D0_0, v00AE6DB0_0, v00AE6E08_0, v00F68CE8_0;
E_00903078/1 .event edge, v00F68978_0, v00F6A898_0, v00F6AD98_0;
E_00903078 .event/or E_00903078/0, E_00903078/1;
E_00902FF8/0 .event edge, v00F68D98_0, v00F68EA0_0, v00F68E48_0, v00AE6DB0_0;
E_00902FF8/1 .event edge, v00AE6E08_0, v00F68CE8_0, v00F68978_0, v00F6A898_0;
E_00902FF8/2 .event edge, v00AE54E8_0;
E_00902FF8 .event/or E_00902FF8/0, E_00902FF8/1, E_00902FF8/2;
E_00900358 .event edge, v00AE7178_0, v00F69738_0;
E_00903018 .event edge, v00AE7178_0, v00F699D0_0;
L_00AE9120 .part v00AE72D8_0, 2, 1;
L_00AE9178 .part v00AE72D8_0, 1, 1;
L_00AE91D0 .part v00AE7228_0, 2, 1;
L_00AE9228 .part v00AE7228_0, 1, 1;
L_00AE9280 .part v00AE7280_0, 2, 1;
L_00AE92D8 .part v00AE7280_0, 1, 1;
L_00AED908 .part v00AE7120_0, 4, 4;
L_00AED960 .concat [ 8 8 0 0], v00AE6FC0_0, v00AE6F68_0;
L_00AED9B8 .concat [ 6 3 0 0], v00AE8DB0_0, C4<000>;
L_00AEDA68 .cmp/eq 9, L_00AED9B8, C4<000010010>;
S_00911B90 .scope module, "alu" "alu" 3 119, 4 15, S_00912850;
.timescale -9 -9;
v00A066D0_0 .alias "CCR", 7 0, v00A090C8_0;
v00A06728_0 .var "CCRo", 7 0;
v00A06780_0 .net "a_in", 15 0, v00A074C0_0; 1 drivers
v00A067D8_0 .net "b_in", 15 0, v00A07518_0; 1 drivers
v00A06830_0 .net "ccr16_out", 3 0, v00E8B738_0; 1 drivers
v00A06888_0 .net "ccr8_out", 7 0, v00E8C898_0; 1 drivers
v00A068E0_0 .alias "clk_in", 0 0, v00A070C8_0;
v00A06938_0 .alias "opcode_in", 4 0, v00A077D8_0;
v00A06990_0 .net "q16_mul", 15 0, v00A06620_0; 1 drivers
v00A069E8_0 .net "q16_out", 15 0, v00E8BC38_0; 1 drivers
v00A06A40_0 .net "q8_out", 7 0, v00A06178_0; 1 drivers
v00A06A98_0 .var "q_out", 15 0;
v00A06AF0_0 .var "ra_in", 15 0;
v00A06B48_0 .var "rb_in", 15 0;
v00A06BA0_0 .var "rop_in", 4 0;
v00A06BF8_0 .alias "sz_in", 0 0, v00A07830_0;
E_00823940/0 .event edge, v00E89B88_0, v00E8BC38_0, v00856488_0, v00E8B738_0;
E_00823940/1 .event edge, v00A06178_0, v00E8C898_0;
E_00823940 .event/or E_00823940/0, E_00823940/1;
L_00A0A8E0 .part v00A074C0_0, 0, 8;
L_00A0A938 .part v00A07518_0, 0, 8;
L_00A0EA40 .part v00A06AF0_0, 0, 8;
L_00A0EA98 .part v00A06B48_0, 0, 8;
S_00833318 .scope module, "mulu" "mul8x8" 4 34, 4 604, S_00832218;
v00AE5120_0 .alias "CCR", 7 0, v00AE7B18_0;
v00AE5178_0 .var "CCRo", 7 0;
v00AE51D0_0 .net "a_in", 15 0, v00AE5F10_0; 1 drivers
v00AE5228_0 .net "b_in", 15 0, v00AE5F68_0; 1 drivers
v00AE5280_0 .net "ccr16_out", 3 0, v00F6B738_0; 1 drivers
v00AE52D8_0 .net "ccr8_out", 7 0, v00F6C898_0; 1 drivers
v00AE5330_0 .alias "clk_in", 0 0, v00AE5B18_0;
v00AE5388_0 .alias "opcode_in", 4 0, v00AE6228_0;
v00AE53E0_0 .net "q16_mul", 15 0, v00AE5070_0; 1 drivers
v00AE5438_0 .net "q16_out", 15 0, v00F6BC38_0; 1 drivers
v00AE5490_0 .net "q8_out", 7 0, v00AE4BC8_0; 1 drivers
v00AE54E8_0 .var "q_out", 15 0;
v00AE5540_0 .var "ra_in", 15 0;
v00AE5598_0 .var "rb_in", 15 0;
v00AE55F0_0 .var "rop_in", 4 0;
v00AE5648_0 .alias "sz_in", 0 0, v00AE6280_0;
E_009020D8/0 .event edge, v00F69B88_0, v00F6BC38_0, v00935ED8_0, v00F6B738_0;
E_009020D8/1 .event edge, v00AE4BC8_0, v00F6C898_0;
E_009020D8 .event/or E_009020D8/0, E_009020D8/1;
L_00AE9330 .part v00AE5F10_0, 0, 8;
L_00AE9388 .part v00AE5F68_0, 0, 8;
L_00AED490 .part v00AE5540_0, 0, 8;
L_00AED4E8 .part v00AE5598_0, 0, 8;
S_00912C08 .scope module, "mulu" "mul8x8" 4 34, 4 604, S_00911B90;
.timescale -9 -9;
v00A064C0_0 .net "a", 7 0, L_00A0A8E0; 1 drivers
v00A06518_0 .net "b", 7 0, L_00A0A938; 1 drivers
v00A06570_0 .alias "clk_in", 0 0, v00A070C8_0;
v00A065C8_0 .var "pipe0", 15 0;
v00A06620_0 .var "pipe1", 15 0;
v00A06678_0 .alias "q", 15 0, v00A06990_0;
S_008323B0 .scope module, "alu8" "alu8" 4 35, 4 202, S_00832218;
v00AE4F10_0 .net "a", 7 0, L_00AE9330; 1 drivers
v00AE4F68_0 .net "b", 7 0, L_00AE9388; 1 drivers
v00AE4FC0_0 .alias "clk_in", 0 0, v00AE5B18_0;
v00AE5018_0 .var "pipe0", 15 0;
v00AE5070_0 .var "pipe1", 15 0;
v00AE50C8_0 .alias "q", 15 0, v00AE53E0_0;
S_00912058 .scope module, "alu8" "alu8" 4 35, 4 202, S_00911B90;
.timescale -9 -9;
L_00A0B360 .functor NOT 8, L_00A0EA40, C4<00000000>, C4<00000000>, C4<00000000>;
L_00A0B398 .functor BUFZ 8, L_00A0B360, C4<00000000>, C4<00000000>, C4<00000000>;
L_00A0B4E8 .functor BUFZ 8, L_00A0AB48, C4<00000000>, C4<00000000>, C4<00000000>;
L_00A0B520 .functor OR 1, L_00A0AC50, L_00A0ACA8, C4<0>, C4<0>;
L_00A0B600 .functor OR 1, L_00A0B520, L_00A0AD00, C4<0>, C4<0>;
L_00A0B5C8 .functor OR 1, L_00A0B600, L_00A0AD58, C4<0>, C4<0>;
L_00A0B6A8 .functor OR 1, L_00A0B5C8, L_00A0ADB0, C4<0>, C4<0>;
L_00A0B7C0 .functor OR 1, L_00A0B6A8, L_00A0AE08, C4<0>, C4<0>;
L_00A0B868 .functor OR 1, L_00A0B7C0, L_00A0AE60, C4<0>, C4<0>;
L_00A0B910 .functor OR 1, L_00A0B868, L_00A0AEB8, C4<0>, C4<0>;
L_00A0B9B8 .functor NOT 1, L_00A0AF68, C4<0>, C4<0>, C4<0>;
L_00A0BA60 .functor AND 1, L_00A0AF10, L_00A0B9B8, C4<1>, C4<1>;
L_00A0BA28 .functor NOT 1, L_00A0AFC0, C4<0>, C4<0>, C4<0>;
L_00A0BB08 .functor AND 1, L_00A0BA60, L_00A0BA28, C4<1>, C4<1>;
L_00A0DC08 .functor NOT 1, L_00A0B070, C4<0>, C4<0>, C4<0>;
L_00A0DC78 .functor AND 1, L_00A0BB08, L_00A0DC08, C4<1>, C4<1>;
L_00A0DD20 .functor NOT 1, L_00A0B018, C4<0>, C4<0>, C4<0>;
L_00A0DD90 .functor AND 1, L_00A0DC78, L_00A0DD20, C4<1>, C4<1>;
L_00A0DE38 .functor NOT 1, L_00A0B0C8, C4<0>, C4<0>, C4<0>;
L_00A0DEA8 .functor AND 1, L_00A0DD90, L_00A0DE38, C4<1>, C4<1>;
L_00A0DF50 .functor NOT 1, L_00A0B120, C4<0>, C4<0>, C4<0>;
L_00A0DFC0 .functor AND 1, L_00A0DEA8, L_00A0DF50, C4<1>, C4<1>;
L_00A0E068 .functor NOT 1, L_00A0B178, C4<0>, C4<0>, C4<0>;
L_00A0E0D8 .functor AND 1, L_00A0DFC0, L_00A0E068, C4<1>, C4<1>;
L_00A0F360 .functor OR 1, L_00A0E570, L_00A0AAF0, C4<0>, C4<0>;
L_00A0F600 .functor XNOR 1, L_00A0A990, C4<1>, C4<0>, C4<0>;
L_00A0F670 .functor OR 1, L_00A0E7D8, L_00A0F600, C4<0>, C4<0>;
v00E8C840_0 .alias "CCR", 7 0, v00A090C8_0;
v00E8C898_0 .var "CCRo", 7 0;
v00A04360_0 .net *"_s101", 0 0, L_00A0B178; 1 drivers
v00A043B8_0 .net *"_s102", 0 0, L_00A0E068; 1 drivers
v00A04410_0 .net *"_s113", 3 0, L_00A0E518; 1 drivers
v00A04468_0 .net *"_s114", 3 0, C4<1001>; 1 drivers
v00A044C0_0 .net *"_s116", 0 0, L_00A0E570; 1 drivers
v00A04518_0 .net *"_s118", 0 0, L_00A0F360; 1 drivers
v00A04570_0 .net *"_s12", 7 0, C4<00000000>; 1 drivers
v00A045C8_0 .net *"_s120", 7 0, C4<00000110>; 1 drivers
v00A04620_0 .net *"_s122", 7 0, L_00A0E5C8; 1 drivers
v00A04678_0 .net *"_s130", 3 0, L_00A0E728; 1 drivers
v00A046D0_0 .net *"_s131", 5 0, L_00A0E780; 1 drivers
v00A04728_0 .net *"_s134", 1 0, C4<00>; 1 drivers
v00A04780_0 .net *"_s135", 5 0, C4<001001>; 1 drivers
v00A047D8_0 .net *"_s137", 0 0, L_00A0E7D8; 1 drivers
v00A04830_0 .net *"_s139", 0 0, C4<1>; 1 drivers
v00A04888_0 .net *"_s141", 0 0, L_00A0F600; 1 drivers
v00A048E0_0 .net *"_s143", 0 0, L_00A0F670; 1 drivers
v00A04990_0 .net *"_s145", 0 0, C4<0>; 1 drivers
v00A04938_0 .net *"_s148", 3 0, L_00A0E830; 1 drivers
v00A049E8_0 .net *"_s149", 4 0, L_00A0E888; 1 drivers
v00A04A40_0 .net *"_s151", 4 0, C4<00110>; 1 drivers
v00A04A98_0 .net *"_s153", 4 0, L_00A0E8E0; 1 drivers
v00A04AF0_0 .net *"_s155", 0 0, C4<0>; 1 drivers
v00A04B48_0 .net *"_s158", 3 0, L_00A0E938; 1 drivers
v00A04BA0_0 .net *"_s159", 4 0, L_00A0E990; 1 drivers
v00A04BF8_0 .net *"_s161", 4 0, L_00A0E9E8; 1 drivers
v00A04C50_0 .net *"_s18", 7 0, C4<00000000>; 1 drivers
v00A04CA8_0 .net *"_s20", 0 0, L_00A0ABA0; 1 drivers
v00A04D00_0 .net *"_s22", 0 0, C4<1>; 1 drivers
v00A04D58_0 .net *"_s24", 0 0, C4<0>; 1 drivers
v00A04DB0_0 .net *"_s33", 0 0, L_00A0AC50; 1 drivers
v00A04E08_0 .net *"_s35", 0 0, L_00A0ACA8; 1 drivers
v00A04E60_0 .net *"_s36", 0 0, L_00A0B520; 1 drivers
v00A04EB8_0 .net *"_s39", 0 0, L_00A0AD00; 1 drivers
v00A04F10_0 .net *"_s40", 0 0, L_00A0B600; 1 drivers
v00A04F68_0 .net *"_s43", 0 0, L_00A0AD58; 1 drivers
v00A04FC0_0 .net *"_s44", 0 0, L_00A0B5C8; 1 drivers
v00A05018_0 .net *"_s47", 0 0, L_00A0ADB0; 1 drivers
v00A05070_0 .net *"_s48", 0 0, L_00A0B6A8; 1 drivers
v00A050C8_0 .net *"_s51", 0 0, L_00A0AE08; 1 drivers
v00A05120_0 .net *"_s52", 0 0, L_00A0B7C0; 1 drivers
v00A05178_0 .net *"_s55", 0 0, L_00A0AE60; 1 drivers
v00A051D0_0 .net *"_s56", 0 0, L_00A0B868; 1 drivers
v00A05228_0 .net *"_s59", 0 0, L_00A0AEB8; 1 drivers
v00A05280_0 .net *"_s63", 0 0, L_00A0AF10; 1 drivers
v00A052D8_0 .net *"_s65", 0 0, L_00A0AF68; 1 drivers
v00A05360_0 .net *"_s66", 0 0, L_00A0B9B8; 1 drivers
v00A053B8_0 .net *"_s68", 0 0, L_00A0BA60; 1 drivers
v00A05410_0 .net *"_s71", 0 0, L_00A0AFC0; 1 drivers
v00A05468_0 .net *"_s72", 0 0, L_00A0BA28; 1 drivers
v00A054C0_0 .net *"_s74", 0 0, L_00A0BB08; 1 drivers
v00A05518_0 .net *"_s77", 0 0, L_00A0B070; 1 drivers
v00A05570_0 .net *"_s78", 0 0, L_00A0DC08; 1 drivers
v00A055C8_0 .net *"_s80", 0 0, L_00A0DC78; 1 drivers
v00A05620_0 .net *"_s83", 0 0, L_00A0B018; 1 drivers
v00A05678_0 .net *"_s84", 0 0, L_00A0DD20; 1 drivers
v00A056D0_0 .net *"_s86", 0 0, L_00A0DD90; 1 drivers
v00A05728_0 .net *"_s89", 0 0, L_00A0B0C8; 1 drivers
v00A05780_0 .net *"_s90", 0 0, L_00A0DE38; 1 drivers
v00A057D8_0 .net *"_s92", 0 0, L_00A0DEA8; 1 drivers
v00A05830_0 .net *"_s95", 0 0, L_00A0B120; 1 drivers
v00A05888_0 .net *"_s96", 0 0, L_00A0DF50; 1 drivers
v00A058E0_0 .net *"_s98", 0 0, L_00A0DFC0; 1 drivers
v00A05938_0 .net "a_in", 7 0, L_00A0EA40; 1 drivers
v00A05990_0 .net "arith_c", 0 0, v00E8C4D0_0; 1 drivers
v00A059E8_0 .net "arith_h", 0 0, v00E8C580_0; 1 drivers
v00A05A40_0 .net "arith_q", 7 0, v00E8C688_0; 1 drivers
v00A05A98_0 .net "arith_v", 0 0, v00E8C630_0; 1 drivers
v00A05AF0_0 .net "b_in", 7 0, L_00A0EA98; 1 drivers
v00A05B48_0 .var "c8", 0 0;
v00A05BA0_0 .net "c_in", 0 0, L_00A0A990; 1 drivers
v00A05BF8_0 .net "ccom8_r", 0 0, L_00A0ABF8; 1 drivers
v00A05C50_0 .net "cdaa8_r", 0 0, L_00A0E678; 1 drivers
v00A05CA8_0 .alias "clk_in", 0 0, v00A070C8_0;
v00A05D00_0 .net "cneg8_r", 0 0, L_00A0B910; 1 drivers
v00A05D58_0 .net "com8_r", 7 0, L_00A0B398; 1 drivers
v00A05DB0_0 .net "com8_w", 7 0, L_00A0B360; 1 drivers
v00A05E08_0 .net "daa8h_r", 3 0, L_00A0E6D0; 1 drivers
v00A05E60_0 .net "daa_p0_r", 7 0, L_00A0E620; 1 drivers
v00A05EB8_0 .var "h8", 0 0;
v00A05F10_0 .net "h_in", 0 0, L_00A0AAF0; 1 drivers
v00A05F68_0 .net "logic_q", 7 0, v00E8C7E8_0; 1 drivers
v00A05FC0_0 .net "n_in", 0 0, L_00A0A9E8; 1 drivers
v00A06018_0 .net "neg8_r", 7 0, L_00A0B4E8; 1 drivers
v00A06070_0 .net "neg8_w", 7 0, L_00A0AB48; 1 drivers
v00A060C8_0 .net "opcode_in", 4 0, v00A06BA0_0; 1 drivers
v00A06120_0 .var "q8", 7 0;
v00A06178_0 .var "q_out", 7 0;
v00A061D0_0 .net "shift_c", 0 0, L_00A0E468; 1 drivers
v00A06228_0 .net "shift_q", 7 0, v00E8C268_0; 1 drivers
v00A06280_0 .net "shift_v", 0 0, v00E8C210_0; 1 drivers
v00A062D8_0 .var "v8", 0 0;
v00A06360_0 .net "v_in", 0 0, L_00A0AA40; 1 drivers
v00A063B8_0 .net "vcom8_r", 0 0, C4<0>; 1 drivers
v00A06410_0 .net "vneg8_r", 0 0, L_00A0E0D8; 1 drivers
v00A06468_0 .net "z_in", 0 0, L_00A0AA98; 1 drivers
E_00823A20/0 .event edge, v00A06120_0, v00856488_0, v00A05EB8_0, v00A062D8_0;
E_00823A20/1 .event edge, v00A05B48_0;
E_00823A20 .event/or E_00823A20/0, E_00823A20/1;
E_00865E58/0 .event edge, v00E8C0B0_0, v00E8C528_0, v00E8C1B8_0, v00E8BB30_0;
E_00865E58/1 .event edge, v00E8C000_0, v00E8C688_0, v00E8C4D0_0, v00E8C630_0;
E_00865E58/2 .event edge, v00E8C580_0, v00A05D58_0, v00A063B8_0, v00A06018_0;
E_00865E58/3 .event edge, v00A05D00_0, v00A06410_0, v00E8C268_0, v00E8C108_0;
E_00865E58/4 .event edge, v00E8C210_0, v00E8C7E8_0, v00A05E08_0, v00A05E60_0;
E_00865E58/5 .event edge, v00A05C50_0;
E_00865E58 .event/or E_00865E58/0, E_00865E58/1, E_00865E58/2, E_00865E58/3, E_00865E58/4, E_00865E58/5;
L_00A0A990 .part L_00A0ECA8, 0, 1;
L_00A0A9E8 .part L_00A0ECA8, 3, 1;
L_00A0AA40 .part L_00A0ECA8, 1, 1;
L_00A0AA98 .part L_00A0ECA8, 2, 1;
L_00A0AAF0 .part L_00A0ECA8, 5, 1;
L_00A0AB48 .arith/sub 8, C4<00000000>, L_00A0EA40;
L_00A0ABA0 .cmp/ne 8, L_00A0B360, C4<00000000>;
L_00A0ABF8 .functor MUXZ 1, C4<0>, C4<1>, L_00A0ABA0, C4<>;
L_00A0AC50 .part L_00A0AB48, 7, 1;
L_00A0ACA8 .part L_00A0AB48, 6, 1;
L_00A0AD00 .part L_00A0AB48, 5, 1;
L_00A0AD58 .part L_00A0AB48, 4, 1;
L_00A0ADB0 .part L_00A0AB48, 3, 1;
L_00A0AE08 .part L_00A0AB48, 2, 1;
L_00A0AE60 .part L_00A0AB48, 1, 1;
L_00A0AEB8 .part L_00A0AB48, 0, 1;
L_00A0AF10 .part L_00A0AB48, 7, 1;
L_00A0AF68 .part L_00A0AB48, 6, 1;
L_00A0AFC0 .part L_00A0AB48, 5, 1;
L_00A0B070 .part L_00A0AB48, 4, 1;
L_00A0B018 .part L_00A0AB48, 3, 1;
L_00A0B0C8 .part L_00A0AB48, 2, 1;
L_00A0B120 .part L_00A0AB48, 1, 1;
L_00A0B178 .part L_00A0AB48, 0, 1;
L_00A0B1D0 .part v00A06BA0_0, 0, 2;
L_00A0B2D8 .part v00A06BA0_0, 0, 2;
L_00A0E4C0 .part v00A06BA0_0, 0, 3;
L_00A0E518 .part L_00A0EA40, 0, 4;
L_00A0E570 .cmp/gt 4, L_00A0E518, C4<1001>;
L_00A0E5C8 .arith/sum 8, L_00A0EA40, C4<00000110>;
L_00A0E620 .functor MUXZ 8, L_00A0EA40, L_00A0E5C8, L_00A0F360, C4<>;
L_00A0E678 .part L_00A0E9E8, 4, 1;
L_00A0E6D0 .part L_00A0E9E8, 0, 4;
L_00A0E728 .part L_00A0E620, 4, 4;
L_00A0E780 .concat [ 4 2 0 0], L_00A0E728, C4<00>;
L_00A0E7D8 .cmp/gt 6, L_00A0E780, C4<001001>;
L_00A0E830 .part L_00A0E620, 4, 4;
L_00A0E888 .concat [ 4 1 0 0], L_00A0E830, C4<0>;
L_00A0E8E0 .arith/sum 5, L_00A0E888, C4<00110>;
L_00A0E938 .part L_00A0E620, 4, 4;
L_00A0E990 .concat [ 4 1 0 0], L_00A0E938, C4<0>;
L_00A0E9E8 .functor MUXZ 5, L_00A0E990, L_00A0E8E0, L_00A0F670, C4<>;
S_00832BA8 .scope module, "l8" "logic8" 4 245, 4 66, S_008323B0;
L_00AE9DB0 .functor NOT 8, L_00AED490, C4<00000000>, C4<00000000>, C4<00000000>;
L_00AE9DE8 .functor BUFZ 8, L_00AE9DB0, C4<00000000>, C4<00000000>, C4<00000000>;
L_00AE9F38 .functor BUFZ 8, L_00AE9598, C4<00000000>, C4<00000000>, C4<00000000>;
L_00AE9F70 .functor OR 1, L_00AE96A0, L_00AE96F8, C4<0>, C4<0>;
L_00AEA050 .functor OR 1, L_00AE9F70, L_00AE9750, C4<0>, C4<0>;
L_00AEA018 .functor OR 1, L_00AEA050, L_00AE97A8, C4<0>, C4<0>;
L_00AEA0F8 .functor OR 1, L_00AEA018, L_00AE9800, C4<0>, C4<0>;
L_00AEA210 .functor OR 1, L_00AEA0F8, L_00AE9858, C4<0>, C4<0>;
L_00AEA2B8 .functor OR 1, L_00AEA210, L_00AE98B0, C4<0>, C4<0>;
L_00AEA360 .functor OR 1, L_00AEA2B8, L_00AE9908, C4<0>, C4<0>;
L_00AEA408 .functor NOT 1, L_00AE99B8, C4<0>, C4<0>, C4<0>;
L_00AEA4B0 .functor AND 1, L_00AE9960, L_00AEA408, C4<1>, C4<1>;
L_00AEA478 .functor NOT 1, L_00AE9A10, C4<0>, C4<0>, C4<0>;
L_00AEA558 .functor AND 1, L_00AEA4B0, L_00AEA478, C4<1>, C4<1>;
L_00AEC658 .functor NOT 1, L_00AE9AC0, C4<0>, C4<0>, C4<0>;
L_00AEC6C8 .functor AND 1, L_00AEA558, L_00AEC658, C4<1>, C4<1>;
L_00AEC770 .functor NOT 1, L_00AE9A68, C4<0>, C4<0>, C4<0>;
L_00AEC7E0 .functor AND 1, L_00AEC6C8, L_00AEC770, C4<1>, C4<1>;
L_00AEC888 .functor NOT 1, L_00AE9B18, C4<0>, C4<0>, C4<0>;
L_00AEC8F8 .functor AND 1, L_00AEC7E0, L_00AEC888, C4<1>, C4<1>;
L_00AEC9A0 .functor NOT 1, L_00AE9B70, C4<0>, C4<0>, C4<0>;
L_00AECA10 .functor AND 1, L_00AEC8F8, L_00AEC9A0, C4<1>, C4<1>;
L_00AECAB8 .functor NOT 1, L_00AE9BC8, C4<0>, C4<0>, C4<0>;
L_00AECB28 .functor AND 1, L_00AECA10, L_00AECAB8, C4<1>, C4<1>;
L_00AEDDB0 .functor OR 1, L_00AECFC0, L_00AE9540, C4<0>, C4<0>;
L_00AEE050 .functor XNOR 1, L_00AE93E0, C4<1>, C4<0>, C4<0>;
L_00AEE0C0 .functor OR 1, L_00AED228, L_00AEE050, C4<0>, C4<0>;
v00F6C840_0 .alias "CCR", 7 0, v00AE7B18_0;
v00F6C898_0 .var "CCRo", 7 0;
v00AE2DB0_0 .net *"_s101", 0 0, L_00AE9BC8; 1 drivers
v00AE2E08_0 .net *"_s102", 0 0, L_00AECAB8; 1 drivers
v00AE2E60_0 .net *"_s113", 3 0, L_00AECF68; 1 drivers
v00AE2EB8_0 .net *"_s114", 3 0, C4<1001>; 1 drivers
v00AE2F10_0 .net *"_s116", 0 0, L_00AECFC0; 1 drivers
v00AE2F68_0 .net *"_s118", 0 0, L_00AEDDB0; 1 drivers
v00AE2FC0_0 .net *"_s12", 7 0, C4<00000000>; 1 drivers
v00AE3018_0 .net *"_s120", 7 0, C4<00000110>; 1 drivers
v00AE3070_0 .net *"_s122", 7 0, L_00AED018; 1 drivers
v00AE30C8_0 .net *"_s130", 3 0, L_00AED178; 1 drivers
v00AE3120_0 .net *"_s131", 5 0, L_00AED1D0; 1 drivers
v00AE3178_0 .net *"_s134", 1 0, C4<00>; 1 drivers
v00AE31D0_0 .net *"_s135", 5 0, C4<001001>; 1 drivers
v00AE3228_0 .net *"_s137", 0 0, L_00AED228; 1 drivers
v00AE3280_0 .net *"_s139", 0 0, C4<1>; 1 drivers
v00AE32D8_0 .net *"_s141", 0 0, L_00AEE050; 1 drivers
v00AE3330_0 .net *"_s143", 0 0, L_00AEE0C0; 1 drivers
v00AE33E0_0 .net *"_s145", 0 0, C4<0>; 1 drivers
v00AE3388_0 .net *"_s148", 3 0, L_00AED280; 1 drivers
v00AE3438_0 .net *"_s149", 4 0, L_00AED2D8; 1 drivers
v00AE3490_0 .net *"_s151", 4 0, C4<00110>; 1 drivers
v00AE34E8_0 .net *"_s153", 4 0, L_00AED330; 1 drivers
v00AE3540_0 .net *"_s155", 0 0, C4<0>; 1 drivers
v00AE3598_0 .net *"_s158", 3 0, L_00AED388; 1 drivers
v00AE35F0_0 .net *"_s159", 4 0, L_00AED3E0; 1 drivers
v00AE3648_0 .net *"_s161", 4 0, L_00AED438; 1 drivers
v00AE36A0_0 .net *"_s18", 7 0, C4<00000000>; 1 drivers
v00AE36F8_0 .net *"_s20", 0 0, L_00AE95F0; 1 drivers
v00AE3750_0 .net *"_s22", 0 0, C4<1>; 1 drivers
v00AE37A8_0 .net *"_s24", 0 0, C4<0>; 1 drivers
v00AE3800_0 .net *"_s33", 0 0, L_00AE96A0; 1 drivers
v00AE3858_0 .net *"_s35", 0 0, L_00AE96F8; 1 drivers
v00AE38B0_0 .net *"_s36", 0 0, L_00AE9F70; 1 drivers
v00AE3908_0 .net *"_s39", 0 0, L_00AE9750; 1 drivers
v00AE3960_0 .net *"_s40", 0 0, L_00AEA050; 1 drivers
v00AE39B8_0 .net *"_s43", 0 0, L_00AE97A8; 1 drivers
v00AE3A10_0 .net *"_s44", 0 0, L_00AEA018; 1 drivers
v00AE3A68_0 .net *"_s47", 0 0, L_00AE9800; 1 drivers
v00AE3AC0_0 .net *"_s48", 0 0, L_00AEA0F8; 1 drivers
v00AE3B18_0 .net *"_s51", 0 0, L_00AE9858; 1 drivers
v00AE3B70_0 .net *"_s52", 0 0, L_00AEA210; 1 drivers
v00AE3BC8_0 .net *"_s55", 0 0, L_00AE98B0; 1 drivers
v00AE3C20_0 .net *"_s56", 0 0, L_00AEA2B8; 1 drivers
v00AE3C78_0 .net *"_s59", 0 0, L_00AE9908; 1 drivers
v00AE3CD0_0 .net *"_s63", 0 0, L_00AE9960; 1 drivers
v00AE3D28_0 .net *"_s65", 0 0, L_00AE99B8; 1 drivers
v00AE3DB0_0 .net *"_s66", 0 0, L_00AEA408; 1 drivers
v00AE3E08_0 .net *"_s68", 0 0, L_00AEA4B0; 1 drivers
v00AE3E60_0 .net *"_s71", 0 0, L_00AE9A10; 1 drivers
v00AE3EB8_0 .net *"_s72", 0 0, L_00AEA478; 1 drivers
v00AE3F10_0 .net *"_s74", 0 0, L_00AEA558; 1 drivers
v00AE3F68_0 .net *"_s77", 0 0, L_00AE9AC0; 1 drivers
v00AE3FC0_0 .net *"_s78", 0 0, L_00AEC658; 1 drivers
v00AE4018_0 .net *"_s80", 0 0, L_00AEC6C8; 1 drivers
v00AE4070_0 .net *"_s83", 0 0, L_00AE9A68; 1 drivers
v00AE40C8_0 .net *"_s84", 0 0, L_00AEC770; 1 drivers
v00AE4120_0 .net *"_s86", 0 0, L_00AEC7E0; 1 drivers
v00AE4178_0 .net *"_s89", 0 0, L_00AE9B18; 1 drivers
v00AE41D0_0 .net *"_s90", 0 0, L_00AEC888; 1 drivers
v00AE4228_0 .net *"_s92", 0 0, L_00AEC8F8; 1 drivers
v00AE4280_0 .net *"_s95", 0 0, L_00AE9B70; 1 drivers
v00AE42D8_0 .net *"_s96", 0 0, L_00AEC9A0; 1 drivers
v00AE4330_0 .net *"_s98", 0 0, L_00AECA10; 1 drivers
v00AE4388_0 .net "a_in", 7 0, L_00AED490; 1 drivers
v00AE43E0_0 .net "arith_c", 0 0, v00F6C4D0_0; 1 drivers
v00AE4438_0 .net "arith_h", 0 0, v00F6C580_0; 1 drivers
v00AE4490_0 .net "arith_q", 7 0, v00F6C688_0; 1 drivers
v00AE44E8_0 .net "arith_v", 0 0, v00F6C630_0; 1 drivers
v00AE4540_0 .net "b_in", 7 0, L_00AED4E8; 1 drivers
v00AE4598_0 .var "c8", 0 0;
v00AE45F0_0 .net "c_in", 0 0, L_00AE93E0; 1 drivers
v00AE4648_0 .net "ccom8_r", 0 0, L_00AE9648; 1 drivers
v00AE46A0_0 .net "cdaa8_r", 0 0, L_00AED0C8; 1 drivers
v00AE46F8_0 .alias "clk_in", 0 0, v00AE5B18_0;
v00AE4750_0 .net "cneg8_r", 0 0, L_00AEA360; 1 drivers
v00AE47A8_0 .net "com8_r", 7 0, L_00AE9DE8; 1 drivers
v00AE4800_0 .net "com8_w", 7 0, L_00AE9DB0; 1 drivers
v00AE4858_0 .net "daa8h_r", 3 0, L_00AED120; 1 drivers
v00AE48B0_0 .net "daa_p0_r", 7 0, L_00AED070; 1 drivers
v00AE4908_0 .var "h8", 0 0;
v00AE4960_0 .net "h_in", 0 0, L_00AE9540; 1 drivers
v00AE49B8_0 .net "logic_q", 7 0, v00F6C7E8_0; 1 drivers
v00AE4A10_0 .net "n_in", 0 0, L_00AE9438; 1 drivers
v00AE4A68_0 .net "neg8_r", 7 0, L_00AE9F38; 1 drivers
v00AE4AC0_0 .net "neg8_w", 7 0, L_00AE9598; 1 drivers
v00AE4B18_0 .net "opcode_in", 4 0, v00AE55F0_0; 1 drivers
v00AE4B70_0 .var "q8", 7 0;
v00AE4BC8_0 .var "q_out", 7 0;
v00AE4C20_0 .net "shift_c", 0 0, L_00AECEB8; 1 drivers
v00AE4C78_0 .net "shift_q", 7 0, v00F6C268_0; 1 drivers
v00AE4CD0_0 .net "shift_v", 0 0, v00F6C210_0; 1 drivers
v00AE4D28_0 .var "v8", 0 0;
v00AE4DB0_0 .net "v_in", 0 0, L_00AE9490; 1 drivers
v00AE4E08_0 .net "vcom8_r", 0 0, C4<0>; 1 drivers
v00AE4E60_0 .net "vneg8_r", 0 0, L_00AECB28; 1 drivers
v00AE4EB8_0 .net "z_in", 0 0, L_00AE94E8; 1 drivers
E_009021B8/0 .event edge, v00AE4B70_0, v00935ED8_0, v00AE4908_0, v00AE4D28_0;
E_009021B8/1 .event edge, v00AE4598_0;
E_009021B8 .event/or E_009021B8/0, E_009021B8/1;
E_00945448/0 .event edge, v00F6C0B0_0, v00F6C528_0, v00F6C1B8_0, v00F6BB30_0;
E_00945448/1 .event edge, v00F6C000_0, v00F6C688_0, v00F6C4D0_0, v00F6C630_0;
E_00945448/2 .event edge, v00F6C580_0, v00AE47A8_0, v00AE4E08_0, v00AE4A68_0;
E_00945448/3 .event edge, v00AE4750_0, v00AE4E60_0, v00F6C268_0, v00F6C108_0;
E_00945448/4 .event edge, v00F6C210_0, v00F6C7E8_0, v00AE4858_0, v00AE48B0_0;
E_00945448/5 .event edge, v00AE46A0_0;
E_00945448 .event/or E_00945448/0, E_00945448/1, E_00945448/2, E_00945448/3, E_00945448/4, E_00945448/5;
L_00AE93E0 .part L_00AED6F8, 0, 1;
L_00AE9438 .part L_00AED6F8, 3, 1;
L_00AE9490 .part L_00AED6F8, 1, 1;
L_00AE94E8 .part L_00AED6F8, 2, 1;
L_00AE9540 .part L_00AED6F8, 5, 1;
L_00AE9598 .arith/sub 8, C4<00000000>, L_00AED490;
L_00AE95F0 .cmp/ne 8, L_00AE9DB0, C4<00000000>;
L_00AE9648 .functor MUXZ 1, C4<0>, C4<1>, L_00AE95F0, C4<>;
L_00AE96A0 .part L_00AE9598, 7, 1;
L_00AE96F8 .part L_00AE9598, 6, 1;
L_00AE9750 .part L_00AE9598, 5, 1;
L_00AE97A8 .part L_00AE9598, 4, 1;
L_00AE9800 .part L_00AE9598, 3, 1;
L_00AE9858 .part L_00AE9598, 2, 1;
L_00AE98B0 .part L_00AE9598, 1, 1;
L_00AE9908 .part L_00AE9598, 0, 1;
L_00AE9960 .part L_00AE9598, 7, 1;
L_00AE99B8 .part L_00AE9598, 6, 1;
L_00AE9A10 .part L_00AE9598, 5, 1;
L_00AE9AC0 .part L_00AE9598, 4, 1;
L_00AE9A68 .part L_00AE9598, 3, 1;
L_00AE9B18 .part L_00AE9598, 2, 1;
L_00AE9B70 .part L_00AE9598, 1, 1;
L_00AE9BC8 .part L_00AE9598, 0, 1;
L_00AE9C20 .part v00AE55F0_0, 0, 2;
L_00AE9D28 .part v00AE55F0_0, 0, 2;
L_00AECF10 .part v00AE55F0_0, 0, 3;
L_00AECF68 .part L_00AED490, 0, 4;
L_00AECFC0 .cmp/gt 4, L_00AECF68, C4<1001>;
L_00AED018 .arith/sum 8, L_00AED490, C4<00000110>;
L_00AED070 .functor MUXZ 8, L_00AED490, L_00AED018, L_00AEDDB0, C4<>;
L_00AED0C8 .part L_00AED438, 4, 1;
L_00AED120 .part L_00AED438, 0, 4;
L_00AED178 .part L_00AED070, 4, 4;
L_00AED1D0 .concat [ 4 2 0 0], L_00AED178, C4<00>;
L_00AED228 .cmp/gt 6, L_00AED1D0, C4<001001>;
L_00AED280 .part L_00AED070, 4, 4;
L_00AED2D8 .concat [ 4 1 0 0], L_00AED280, C4<0>;
L_00AED330 .arith/sum 5, L_00AED2D8, C4<00110>;
L_00AED388 .part L_00AED070, 4, 4;
L_00AED3E0 .concat [ 4 1 0 0], L_00AED388, C4<0>;
L_00AED438 .functor MUXZ 5, L_00AED3E0, L_00AED330, L_00AEE0C0, C4<>;
S_00912C90 .scope module, "l8" "logic8" 4 245, 4 66, S_00912058;
.timescale -9 -9;
v00E8C6E0_0 .alias "a_in", 7 0, v00A05938_0;
v00E8C738_0 .alias "b_in", 7 0, v00A05AF0_0;
v00E8C790_0 .net "opcode_in", 1 0, L_00A0B1D0; 1 drivers
v00E8C7E8_0 .var "q_out", 7 0;
E_00865B38 .event edge, v00E8C790_0, v00E8C058_0, v00E8C000_0;
S_00832B20 .scope module, "a8" "arith8" 4 246, 4 89, S_008323B0;
v00F6C6E0_0 .alias "a_in", 7 0, v00AE4388_0;
v00F6C738_0 .alias "b_in", 7 0, v00AE4540_0;
v00F6C790_0 .net "opcode_in", 1 0, L_00AE9C20; 1 drivers
v00F6C7E8_0 .var "q_out", 7 0;
E_00945128 .event edge, v00F6C790_0, v00F6C058_0, v00F6C000_0;
S_00912520 .scope module, "a8" "arith8" 4 246, 4 89, S_00912058;
.timescale -9 -9;
v00E8C2C0_0 .net *"_s1", 0 0, L_00A0B228; 1 drivers
v00E8C318_0 .net *"_s2", 0 0, C4<0>; 1 drivers
v00E8C370_0 .alias "a_in", 7 0, v00A05938_0;
v00E8C3C8_0 .alias "b_in", 7 0, v00A05AF0_0;
v00E8C420_0 .net "carry", 0 0, L_00A0B280; 1 drivers
v00E8C478_0 .alias "carry_in", 0 0, v00A05BA0_0;
v00E8C4D0_0 .var "carry_out", 0 0;
v00E8C528_0 .alias "half_c_in", 0 0, v00A05F10_0;
v00E8C580_0 .var "half_c_out", 0 0;
v00E8C5D8_0 .net "opcode_in", 1 0, L_00A0B2D8; 1 drivers
v00E8C630_0 .var "overflow_out", 0 0;
v00E8C688_0 .var "q_out", 7 0;
E_00865BF8/0 .event edge, v00E8C5D8_0, v00E8C000_0, v00E8C058_0, v00E8C688_0;
E_00865BF8/1 .event edge, v00E8C528_0;
E_00865BF8 .event/or E_00865BF8/0, E_00865BF8/1;
E_00865C18 .event edge, v00E8C5D8_0, v00E8C000_0, v00E8C058_0, v00E8C688_0;
E_00865BB8 .event edge, v00E8C5D8_0, v00E8C000_0, v00E8C058_0, v00E8C420_0;
L_00A0B228 .part L_00A0B2D8, 1, 1;
L_00A0B280 .functor MUXZ 1, C4<0>, L_00A0A990, L_00A0B228, C4<>;
S_008326E0 .scope module, "s8" "shift8" 4 247, 4 162, S_008323B0;
v00F6C2C0_0 .net *"_s1", 0 0, L_00AE9C78; 1 drivers
v00F6C318_0 .net *"_s2", 0 0, C4<0>; 1 drivers
v00F6C370_0 .alias "a_in", 7 0, v00AE4388_0;
v00F6C3C8_0 .alias "b_in", 7 0, v00AE4540_0;
v00F6C420_0 .net "carry", 0 0, L_00AE9CD0; 1 drivers
v00F6C478_0 .alias "carry_in", 0 0, v00AE45F0_0;
v00F6C4D0_0 .var "carry_out", 0 0;
v00F6C528_0 .alias "half_c_in", 0 0, v00AE4960_0;
v00F6C580_0 .var "half_c_out", 0 0;
v00F6C5D8_0 .net "opcode_in", 1 0, L_00AE9D28; 1 drivers
v00F6C630_0 .var "overflow_out", 0 0;
v00F6C688_0 .var "q_out", 7 0;
E_009451E8/0 .event edge, v00F6C5D8_0, v00F6C000_0, v00F6C058_0, v00F6C688_0;
E_009451E8/1 .event edge, v00F6C528_0;
E_009451E8 .event/or E_009451E8/0, E_009451E8/1;
E_00945208 .event edge, v00F6C5D8_0, v00F6C000_0, v00F6C058_0, v00F6C688_0;
E_009451A8 .event edge, v00F6C5D8_0, v00F6C000_0, v00F6C058_0, v00F6C420_0;
L_00AE9C78 .part L_00AE9D28, 1, 1;
L_00AE9CD0 .functor MUXZ 1, C4<0>, L_00AE93E0, L_00AE9C78, C4<>;
S_00912498 .scope module, "s8" "shift8" 4 247, 4 162, S_00912058;
.timescale -9 -9;
v00E8BEF8_0 .net *"_s1", 0 0, L_00A0E360; 1 drivers
v00E8BF50_0 .net *"_s3", 0 0, L_00A0E3B8; 1 drivers
v00E8BFA8_0 .net *"_s5", 0 0, L_00A0E410; 1 drivers
v00E8C000_0 .alias "a_in", 7 0, v00A05938_0;
v00E8C058_0 .alias "b_in", 7 0, v00A05AF0_0;
v00E8C0B0_0 .alias "carry_in", 0 0, v00A05BA0_0;
v00E8C108_0 .alias "carry_out", 0 0, v00A061D0_0;
v00E8C160_0 .net "opcode_in", 2 0, L_00A0E4C0; 1 drivers
v00E8C1B8_0 .alias "overflow_in", 0 0, v00A06360_0;
v00E8C210_0 .var "overflow_out", 0 0;
v00E8C268_0 .var "q_out", 7 0;
E_00865D38 .event edge, v00E8C1B8_0, v00E8C160_0, v00E8C000_0;
E_00865C38 .event edge, v00E8C000_0, v00E8C160_0, v00E8C0B0_0;
L_00A0E360 .part L_00A0E4C0, 0, 1;
L_00A0E3B8 .part L_00A0EA40, 7, 1;
L_00A0E410 .part L_00A0EA40, 0, 1;
L_00A0E468 .functor MUXZ 1, L_00A0E410, L_00A0E3B8, L_00A0E360, C4<>;
S_00832190 .scope module, "alu16" "alu16" 4 36, 4 329, S_00832218;
v00F6BEF8_0 .net *"_s1", 0 0, L_00AECDB0; 1 drivers
v00F6BF50_0 .net *"_s3", 0 0, L_00AECE08; 1 drivers
v00F6BFA8_0 .net *"_s5", 0 0, L_00AECE60; 1 drivers
v00F6C000_0 .alias "a_in", 7 0, v00AE4388_0;
v00F6C058_0 .alias "b_in", 7 0, v00AE4540_0;
v00F6C0B0_0 .alias "carry_in", 0 0, v00AE45F0_0;
v00F6C108_0 .alias "carry_out", 0 0, v00AE4C20_0;
v00F6C160_0 .net "opcode_in", 2 0, L_00AECF10; 1 drivers
v00F6C1B8_0 .alias "overflow_in", 0 0, v00AE4DB0_0;
v00F6C210_0 .var "overflow_out", 0 0;
v00F6C268_0 .var "q_out", 7 0;
E_00945328 .event edge, v00F6C1B8_0, v00F6C160_0, v00F6C000_0;
E_00945228 .event edge, v00F6C000_0, v00F6C160_0, v00F6C0B0_0;
L_00AECDB0 .part L_00AECF10, 0, 1;
L_00AECE08 .part L_00AED490, 7, 1;
L_00AECE60 .part L_00AED490, 0, 1;
L_00AECEB8 .functor MUXZ 1, L_00AECE60, L_00AECE08, L_00AECDB0, C4<>;
S_00911B08 .scope module, "alu16" "alu16" 4 36, 4 329, S_00911B90;
.timescale -9 -9;
v00E8B6E0_0 .alias "CCR", 7 0, v00A090C8_0;
v00E8B738_0 .var "CCRo", 3 0;
v00E8B790_0 .net "a_in", 15 0, v00A06AF0_0; 1 drivers
v00E8B7E8_0 .net "arith_c", 0 0, v00E8B580_0; 1 drivers
v00E8B840_0 .net "arith_q", 15 0, v00E8B688_0; 1 drivers
v00E8B898_0 .net "arith_v", 0 0, v00E8B630_0; 1 drivers
v00E8B920_0 .net "b_in", 15 0, v00A06B48_0; 1 drivers
v00E8B978_0 .var "c16", 0 0;
v00E8B9D0_0 .net "c_in", 0 0, L_00A0EAF0; 1 drivers
v00E8BA28_0 .alias "clk_in", 0 0, v00A070C8_0;
v00E8BA80_0 .var "n16", 0 0;
v00E8BAD8_0 .net "n_in", 0 0, L_00A0EB48; 1 drivers
v00E8BB30_0 .alias "opcode_in", 4 0, v00A060C8_0;
v00E8BB88_0 .var "q16", 15 0;
v00E8BBE0_0 .alias "q_mul_in", 15 0, v00A06990_0;
v00E8BC38_0 .var "q_out", 15 0;
v00E8BC90_0 .var "reg_n_in", 0 0;
v00E8BCE8_0 .var "reg_z_in", 0 0;
v00E8BD40_0 .var "regq16", 15 0;
v00E8BDF0_0 .var "v16", 0 0;
v00E8BD98_0 .net "v_in", 0 0, L_00A0EBA0; 1 drivers
v00E8BE48_0 .var "z16", 0 0;
v00E8BEA0_0 .net "z_in", 0 0, L_00A0EBF8; 1 drivers
E_00823140/0 .event edge, v00E8BB88_0, v00E8BA80_0, v00E8BE48_0, v00E8BDF0_0;
E_00823140/1 .event edge, v00E8B978_0;
E_00823140 .event/or E_00823140/0, E_00823140/1;
E_00823440 .event edge, v00E8BB88_0, v00E8BB30_0, v00E8BC90_0, v00E8BCE8_0;
E_008233C0/0 .event edge, v00E8B528_0, v00E8BD98_0, v00E8BB30_0, v00E8B688_0;
E_008233C0/1 .event edge, v00E8B580_0, v00E8B630_0, v00E8BBE0_0, v00E8B4D0_0;
E_008233C0/2 .event edge, v00E8B478_0;
E_008233C0 .event/or E_008233C0/0, E_008233C0/1, E_008233C0/2;
L_00A0EAF0 .part L_00A0ECA8, 0, 1;
L_00A0EB48 .part L_00A0ECA8, 3, 1;
L_00A0EBA0 .part L_00A0ECA8, 1, 1;
L_00A0EBF8 .part L_00A0ECA8, 2, 1;
L_00A0EC50 .part v00A06BA0_0, 0, 2;
S_00831B30 .scope module, "a16" "arith16" 4 414, 4 133, S_00832190;
v00F6B6E0_0 .alias "CCR", 7 0, v00AE7B18_0;
v00F6B738_0 .var "CCRo", 3 0;
v00F6B790_0 .net "a_in", 15 0, v00AE5540_0; 1 drivers
v00F6B7E8_0 .net "arith_c", 0 0, v00F6B580_0; 1 drivers
v00F6B840_0 .net "arith_q", 15 0, v00F6B688_0; 1 drivers
v00F6B898_0 .net "arith_v", 0 0, v00F6B630_0; 1 drivers
v00F6B920_0 .net "b_in", 15 0, v00AE5598_0; 1 drivers
v00F6B978_0 .var "c16", 0 0;
v00F6B9D0_0 .net "c_in", 0 0, L_00AED540; 1 drivers
v00F6BA28_0 .alias "clk_in", 0 0, v00AE5B18_0;
v00F6BA80_0 .var "n16", 0 0;
v00F6BAD8_0 .net "n_in", 0 0, L_00AED598; 1 drivers
v00F6BB30_0 .alias "opcode_in", 4 0, v00AE4B18_0;
v00F6BB88_0 .var "q16", 15 0;
v00F6BBE0_0 .alias "q_mul_in", 15 0, v00AE53E0_0;
v00F6BC38_0 .var "q_out", 15 0;
v00F6BC90_0 .var "reg_n_in", 0 0;
v00F6BCE8_0 .var "reg_z_in", 0 0;
v00F6BD40_0 .var "regq16", 15 0;
v00F6BDF0_0 .var "v16", 0 0;
v00F6BD98_0 .net "v_in", 0 0, L_00AED5F0; 1 drivers
v00F6BE48_0 .var "z16", 0 0;
v00F6BEA0_0 .net "z_in", 0 0, L_00AED648; 1 drivers
E_009018D8/0 .event edge, v00F6BB88_0, v00F6BA80_0, v00F6BE48_0, v00F6BDF0_0;
E_009018D8/1 .event edge, v00F6B978_0;
E_009018D8 .event/or E_009018D8/0, E_009018D8/1;
E_00901BD8 .event edge, v00F6BB88_0, v00F6BB30_0, v00F6BC90_0, v00F6BCE8_0;
E_00901B58/0 .event edge, v00F6B528_0, v00F6BD98_0, v00F6BB30_0, v00F6B688_0;
E_00901B58/1 .event edge, v00F6B580_0, v00F6B630_0, v00F6BBE0_0, v00F6B4D0_0;
E_00901B58/2 .event edge, v00F6B478_0;
E_00901B58 .event/or E_00901B58/0, E_00901B58/1, E_00901B58/2;
L_00AED540 .part L_00AED6F8, 0, 1;
L_00AED598 .part L_00AED6F8, 3, 1;
L_00AED5F0 .part L_00AED6F8, 1, 1;
L_00AED648 .part L_00AED6F8, 2, 1;
L_00AED6A0 .part v00AE55F0_0, 0, 2;
S_00911D28 .scope module, "a16" "arith16" 4 414, 4 133, S_00911B08;
.timescale -9 -9;
v00E8B478_0 .alias "a_in", 15 0, v00E8B790_0;
v00E8B4D0_0 .alias "b_in", 15 0, v00E8B920_0;
v00E8B528_0 .alias "carry_in", 0 0, v00E8B9D0_0;
v00E8B580_0 .var "carry_out", 0 0;
v00E8B5D8_0 .net "opcode_in", 1 0, L_00A0EC50; 1 drivers
v00E8B630_0 .var "overflow_out", 0 0;
v00E8B688_0 .var "q_out", 15 0;
E_008233E0 .event edge, v00E8B5D8_0, v00E8B478_0, v00E8B4D0_0, v00E8B688_0;
E_00824D80 .event edge, v00E8B5D8_0, v00E8B478_0, v00E8B4D0_0, v00E8B528_0;
S_00832328 .scope module, "regs" "regblock" 3 131, 5 7, S_00832ED8;
v00F6B478_0 .alias "a_in", 15 0, v00F6B790_0;
v00F6B4D0_0 .alias "b_in", 15 0, v00F6B920_0;
v00F6B528_0 .alias "carry_in", 0 0, v00F6B9D0_0;
v00F6B580_0 .var "carry_out", 0 0;
v00F6B5D8_0 .net "opcode_in", 1 0, L_00AED6A0; 1 drivers
v00F6B630_0 .var "overflow_out", 0 0;
v00F6B688_0 .var "q_out", 15 0;
E_00901B78 .event edge, v00F6B5D8_0, v00F6B478_0, v00F6B4D0_0, v00F6B688_0;
E_00903518 .event edge, v00F6B5D8_0, v00F6B478_0, v00F6B4D0_0, v00F6B528_0;
S_00911CA0 .scope module, "regs" "regblock" 3 131, 5 7, S_00912850;
.timescale -9 -9;
L_00A0F8A0 .functor BUFZ 16, v00E8A3C8_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00E8DFF0 .functor BUFZ 16, v00E89E48_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00E8E098 .functor OR 1, v00A08AF0_0, v00A089E8_0, C4<0>, C4<0>;
L_00E8E290 .functor OR 1, v00A08120_0, v00A08A40_0, C4<0>, C4<0>;
v00E8A160_0 .var "ACCA", 7 0;
v00E8A1B8_0 .var "ACCB", 7 0;
v00E8A210_0 .alias "CCR_in", 7 0, v00A06FC0_0;
v00E8A268_0 .alias "CCR_o", 7 0, v00A090C8_0;
v00E8A2C0_0 .var "DP", 7 0;
v00E8A318_0 .var "IX", 15 0;
v00E8A370_0 .var "IY", 15 0;
v00E8A3C8_0 .var "PC", 15 0;
v00E8A420_0 .var "SS", 15 0;
v00E8A478_0 .var "SU", 15 0;
v00E8A4D0_0 .net *"_s10", 15 0, C4<0000000000000001>; 1 drivers
v00E8A528_0 .net *"_s14", 0 0, L_00E8E098; 1 drivers
v00E8A580_0 .net *"_s20", 0 0, L_00E8E290; 1 drivers
v00E8A5D8_0 .net *"_s22", 3 0, C4<0101>; 1 drivers
v00E8A630_0 .var "cff", 0 0;
v00E8A688_0 .net "clear_e", 0 0, v00A07DB0_0; 1 drivers
v00E8A6E0_0 .alias "clk_in", 0 0, v00A070C8_0;
v00E8A738_0 .net "data_w", 15 0, v00A07570_0; 1 drivers
v00E8A790_0 .net "dec_su", 0 0, v00A07F68_0; 1 drivers
v00E8A840_0 .net "ea_reg_post", 15 0, v00E89D98_0; 1 drivers
v00E8A7E8_0 .net "eamem_addr", 15 0, v00E89E48_0; 1 drivers
v00E8A898_0 .alias "eamem_addr_o", 15 0, v00A09178_0;
v00E8A920_0 .net "eapostbyte", 7 0, v00A081D0_0; 1 drivers
v00E8A978_0 .var "eflag", 0 0;
v00E8A9D0_0 .net "exg_dest_r", 3 0, L_00A0EEB8; 1 drivers
v00E8AA28_0 .var "fflag", 0 0;
v00E8AA80_0 .var "hflag", 0 0;
v00E8AAD8_0 .net "inc_pc", 0 0, v00A08120_0; 1 drivers
v00E8AB30_0 .net "inc_su", 0 0, v00A08178_0; 1 drivers
v00E8AB88_0 .var "intff", 0 0;
v00E8ABE0_0 .net "left", 15 0, L_00A0EDB0; 1 drivers
v00E8AC38_0 .net "new_pc", 15 0, v00A08468_0; 1 drivers
v00E8AC90_0 .var "nff", 0 0;
v00E8ACE8_0 .net "offset16", 15 0, L_00A0EF10; 1 drivers
v00E8AD40_0 .net "path_left_addr", 3 0, v00A07468_0; 1 drivers
v00E8AD98_0 .var "path_left_data", 15 0;
v00E8ADF0_0 .alias "path_right_addr", 3 0, v00A07780_0;
v00E8AE48_0 .var "path_right_data", 15 0;
v00E8AEA0_0 .net "pc_plus_1", 15 0, L_00A0ED58; 1 drivers
v00E8AEF8_0 .alias "reg_dp", 7 0, v00A09120_0;
v00E8AF50_0 .alias "reg_pc", 15 0, v00A09228_0;
v00E8AFA8_0 .alias "reg_su", 15 0, v00A092D8_0;
v00E8B000_0 .net "right", 15 0, L_00A0EE08; 1 drivers
v00E8B058_0 .net "right_reg", 3 0, L_00A0EE60; 1 drivers
v00E8B0B0_0 .net "set_e", 0 0, v00A08938_0; 1 drivers
v00E8B108_0 .alias "use_s", 0 0, v00A07CA8_0;
v00E8B160_0 .var "vff", 0 0;
v00E8B1B8_0 .net "write_exg", 0 0, v00A089E8_0; 1 drivers
v00E8B210_0 .net "write_flags", 0 0, L_00E8E488; 1 drivers
v00E8B268_0 .net "write_pc", 0 0, v00A08A40_0; 1 drivers
v00E8B2C0_0 .net "write_post", 0 0, v00A08A98_0; 1 drivers
v00E8B318_0 .net "write_reg", 0 0, v00A08990_0; 1 drivers
v00E8B370_0 .net "write_reg_addr", 3 0, v00A075C8_0; 1 drivers
v00E8B3C8_0 .net "write_tfr", 0 0, v00A08AF0_0; 1 drivers
v00E8B420_0 .var "zff", 0 0;
E_00822580/0 .event edge, v00E89A80_0, v00E89C90_0, v00E89CE8_0, v00E89F50_0;
E_00822580/1 .event edge, v00E89FA8_0, v00E8A108_0, v00E8A0B0_0, v00E8A058_0;
E_00822580/2 .event edge, v00E8A2C0_0, v00E8A978_0, v00E8AA28_0, v00E8AA80_0;
E_00822580/3 .event edge, v00E8AB88_0, v00E8AC90_0, v00E8B420_0, v00E8B160_0;
E_00822580/4 .event edge, v00E8A630_0;
E_00822580 .event/or E_00822580/0, E_00822580/1, E_00822580/2, E_00822580/3, E_00822580/4;
E_00821C60/0 .event edge, v00E8AD40_0, v00E89C90_0, v00E89CE8_0, v00E89F50_0;
E_00821C60/1 .event edge, v00E89FA8_0, v00E8A108_0, v00E8A0B0_0, v00E8A058_0;
E_00821C60/2 .event edge, v00E8A2C0_0, v00E8A978_0, v00E8AA28_0, v00E8AA80_0;
E_00821C60/3 .event edge, v00E8AB88_0, v00E8AC90_0, v00E8B420_0, v00E8B160_0;
E_00821C60/4 .event edge, v00E8A630_0;
E_00821C60 .event/or E_00821C60/0, E_00821C60/1, E_00821C60/2, E_00821C60/3, E_00821C60/4;
LS_00A0ECA8_0_0 .concat [ 1 1 1 1], v00E8A630_0, v00E8B160_0, v00E8B420_0, v00E8AC90_0;
LS_00A0ECA8_0_4 .concat [ 1 1 1 1], v00E8AB88_0, v00E8AA80_0, v00E8AA28_0, v00E8A978_0;
L_00A0ECA8 .concat [ 4 4 0 0], LS_00A0ECA8_0_0, LS_00A0ECA8_0_4;
L_00A0ED00 .functor MUXZ 16, v00E8A478_0, v00E8A420_0, v00E89268_0, C4<>;
L_00A0ED58 .arith/sum 16, v00E8A3C8_0, C4<0000000000000001>;
L_00A0EDB0 .functor MUXZ 16, v00A07570_0, v00E8AD98_0, L_00E8E098, C4<>;
L_00A0EE08 .functor MUXZ 16, v00E8AE48_0, L_00A0ED58, v00A08120_0, C4<>;
L_00A0EE60 .functor MUXZ 4, L_00A0EEB8, C4<0101>, L_00E8E290, C4<>;
S_008322A0 .scope module, "ea" "calc_ea" 5 60, 5 191, S_00832328;
L_00AEE2F0 .functor BUFZ 16, v00F6A3C8_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00AEE5B0 .functor BUFZ 16, v00F69E48_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00AEE658 .functor OR 1, v00AE7540_0, v00AE7438_0, C4<0>, C4<0>;
L_00AEE850 .functor OR 1, v00AE6B70_0, v00AE7490_0, C4<0>, C4<0>;
v00F6A160_0 .var "ACCA", 7 0;
v00F6A1B8_0 .var "ACCB", 7 0;
v00F6A210_0 .alias "CCR_in", 7 0, v00AE5A10_0;
v00F6A268_0 .alias "CCR_o", 7 0, v00AE7B18_0;
v00F6A2C0_0 .var "DP", 7 0;
v00F6A318_0 .var "IX", 15 0;
v00F6A370_0 .var "IY", 15 0;
v00F6A3C8_0 .var "PC", 15 0;
v00F6A420_0 .var "SS", 15 0;
v00F6A478_0 .var "SU", 15 0;
v00F6A4D0_0 .net *"_s10", 15 0, C4<0000000000000001>; 1 drivers
v00F6A528_0 .net *"_s14", 0 0, L_00AEE658; 1 drivers
v00F6A580_0 .net *"_s20", 0 0, L_00AEE850; 1 drivers
v00F6A5D8_0 .net *"_s22", 3 0, C4<0101>; 1 drivers
v00F6A630_0 .var "cff", 0 0;
v00F6A688_0 .net "clear_e", 0 0, v00AE6800_0; 1 drivers
v00F6A6E0_0 .alias "clk_in", 0 0, v00AE5B18_0;
v00F6A738_0 .net "data_w", 15 0, v00AE5FC0_0; 1 drivers
v00F6A790_0 .net "dec_su", 0 0, v00AE69B8_0; 1 drivers
v00F6A840_0 .net "ea_reg_post", 15 0, v00F69D98_0; 1 drivers
v00F6A7E8_0 .net "eamem_addr", 15 0, v00F69E48_0; 1 drivers
v00F6A898_0 .alias "eamem_addr_o", 15 0, v00AE7BC8_0;
v00F6A920_0 .net "eapostbyte", 7 0, v00AE6C20_0; 1 drivers
v00F6A978_0 .var "eflag", 0 0;
v00F6A9D0_0 .net "exg_dest_r", 3 0, L_00AED908; 1 drivers
v00F6AA28_0 .var "fflag", 0 0;
v00F6AA80_0 .var "hflag", 0 0;
v00F6AAD8_0 .net "inc_pc", 0 0, v00AE6B70_0; 1 drivers
v00F6AB30_0 .net "inc_su", 0 0, v00AE6BC8_0; 1 drivers
v00F6AB88_0 .var "intff", 0 0;
v00F6ABE0_0 .net "left", 15 0, L_00AED800; 1 drivers
v00F6AC38_0 .net "new_pc", 15 0, v00AE6EB8_0; 1 drivers
v00F6AC90_0 .var "nff", 0 0;
v00F6ACE8_0 .net "offset16", 15 0, L_00AED960; 1 drivers
v00F6AD40_0 .net "path_left_addr", 3 0, v00AE5EB8_0; 1 drivers
v00F6AD98_0 .var "path_left_data", 15 0;
v00F6ADF0_0 .alias "path_right_addr", 3 0, v00AE61D0_0;
v00F6AE48_0 .var "path_right_data", 15 0;
v00F6AEA0_0 .net "pc_plus_1", 15 0, L_00AED7A8; 1 drivers
v00F6AEF8_0 .alias "reg_dp", 7 0, v00AE7B70_0;
v00F6AF50_0 .alias "reg_pc", 15 0, v00AE7C78_0;
v00F6AFA8_0 .alias "reg_su", 15 0, v00AE7D28_0;
v00F6B000_0 .net "right", 15 0, L_00AED858; 1 drivers
v00F6B058_0 .net "right_reg", 3 0, L_00AED8B0; 1 drivers
v00F6B0B0_0 .net "set_e", 0 0, v00AE7388_0; 1 drivers
v00F6B108_0 .alias "use_s", 0 0, v00AE66F8_0;
v00F6B160_0 .var "vff", 0 0;
v00F6B1B8_0 .net "write_exg", 0 0, v00AE7438_0; 1 drivers
v00F6B210_0 .net "write_flags", 0 0, L_00AEEA48; 1 drivers
v00F6B268_0 .net "write_pc", 0 0, v00AE7490_0; 1 drivers
v00F6B2C0_0 .net "write_post", 0 0, v00AE74E8_0; 1 drivers
v00F6B318_0 .net "write_reg", 0 0, v00AE73E0_0; 1 drivers
v00F6B370_0 .net "write_reg_addr", 3 0, v00AE6018_0; 1 drivers
v00F6B3C8_0 .net "write_tfr", 0 0, v00AE7540_0; 1 drivers
v00F6B420_0 .var "zff", 0 0;
E_00900D18/0 .event edge, v00F69A80_0, v00F69C90_0, v00F69CE8_0, v00F69F50_0;
E_00900D18/1 .event edge, v00F69FA8_0, v00F6A108_0, v00F6A0B0_0, v00F6A058_0;
E_00900D18/2 .event edge, v00F6A2C0_0, v00F6A978_0, v00F6AA28_0, v00F6AA80_0;
E_00900D18/3 .event edge, v00F6AB88_0, v00F6AC90_0, v00F6B420_0, v00F6B160_0;
E_00900D18/4 .event edge, v00F6A630_0;
E_00900D18 .event/or E_00900D18/0, E_00900D18/1, E_00900D18/2, E_00900D18/3, E_00900D18/4;
E_009003F8/0 .event edge, v00F6AD40_0, v00F69C90_0, v00F69CE8_0, v00F69F50_0;
E_009003F8/1 .event edge, v00F69FA8_0, v00F6A108_0, v00F6A0B0_0, v00F6A058_0;
E_009003F8/2 .event edge, v00F6A2C0_0, v00F6A978_0, v00F6AA28_0, v00F6AA80_0;
E_009003F8/3 .event edge, v00F6AB88_0, v00F6AC90_0, v00F6B420_0, v00F6B160_0;
E_009003F8/4 .event edge, v00F6A630_0;
E_009003F8 .event/or E_009003F8/0, E_009003F8/1, E_009003F8/2, E_009003F8/3, E_009003F8/4;
LS_00AED6F8_0_0 .concat [ 1 1 1 1], v00F6A630_0, v00F6B160_0, v00F6B420_0, v00F6AC90_0;
LS_00AED6F8_0_4 .concat [ 1 1 1 1], v00F6AB88_0, v00F6AA80_0, v00F6AA28_0, v00F6A978_0;
L_00AED6F8 .concat [ 4 4 0 0], LS_00AED6F8_0_0, LS_00AED6F8_0_4;
L_00AED750 .functor MUXZ 16, v00F6A478_0, v00F6A420_0, v00F69268_0, C4<>;
L_00AED7A8 .arith/sum 16, v00F6A3C8_0, C4<0000000000000001>;
L_00AED800 .functor MUXZ 16, v00AE5FC0_0, v00F6AD98_0, L_00AEE658, C4<>;
L_00AED858 .functor MUXZ 16, v00F6AE48_0, L_00AED7A8, v00AE6B70_0, C4<>;
L_00AED8B0 .functor MUXZ 4, L_00AED908, C4<0101>, L_00AEE850, C4<>;
S_00911C18 .scope module, "ea" "calc_ea" 5 60, 5 191, S_00911CA0;
.timescale -9 -9;
v00E89C90_0 .net "acca", 7 0, v00E8A160_0; 1 drivers
v00E89CE8_0 .net "accb", 7 0, v00E8A1B8_0; 1 drivers
v00E89D40_0 .var "ea_reg", 15 0;
v00E89D98_0 .var "ea_reg_post", 15 0;
v00E89DF0_0 .alias "ea_reg_post_o", 15 0, v00E8A840_0;
v00E89E48_0 .var "eamem_addr", 15 0;
v00E89EA0_0 .alias "eamem_addr_o", 15 0, v00E8A7E8_0;
v00E89EF8_0 .alias "eapostbyte", 7 0, v00E8A920_0;
v00E89F50_0 .net "ix", 15 0, v00E8A318_0; 1 drivers
v00E89FA8_0 .net "iy", 15 0, v00E8A370_0; 1 drivers
v00E8A000_0 .alias "offset16", 15 0, v00E8ACE8_0;
v00E8A058_0 .net "pc", 15 0, v00E8A3C8_0; 1 drivers
v00E8A0B0_0 .net "s", 15 0, v00E8A420_0; 1 drivers
v00E8A108_0 .net "u", 15 0, v00E8A478_0; 1 drivers
E_00821C80/0 .event edge, v00E88920_0, v00E89D40_0, v00E89D98_0, v00E89CE8_0;
E_00821C80/1 .event edge, v00E89C90_0, v00E8A000_0, v00E8A058_0;
E_00821C80 .event/or E_00821C80/0, E_00821C80/1;
E_00821C20 .event edge, v00E88920_0, v00E89D40_0;
E_00821C40/0 .event edge, v00E88920_0, v00E89F50_0, v00E89FA8_0, v00E8A108_0;
E_00821C40/1 .event edge, v00E8A0B0_0;
E_00821C40 .event/or E_00821C40/0, E_00821C40/1;
S_00831C40 .scope module, "dec_regs" "decode_regs" 3 163, 6 9, S_00832ED8;
v00F69C90_0 .net "acca", 7 0, v00F6A160_0; 1 drivers
v00F69CE8_0 .net "accb", 7 0, v00F6A1B8_0; 1 drivers
v00F69D40_0 .var "ea_reg", 15 0;
v00F69D98_0 .var "ea_reg_post", 15 0;
v00F69DF0_0 .alias "ea_reg_post_o", 15 0, v00F6A840_0;
v00F69E48_0 .var "eamem_addr", 15 0;
v00F69EA0_0 .alias "eamem_addr_o", 15 0, v00F6A7E8_0;
v00F69EF8_0 .alias "eapostbyte", 7 0, v00F6A920_0;
v00F69F50_0 .net "ix", 15 0, v00F6A318_0; 1 drivers
v00F69FA8_0 .net "iy", 15 0, v00F6A370_0; 1 drivers
v00F6A000_0 .alias "offset16", 15 0, v00F6ACE8_0;
v00F6A058_0 .net "pc", 15 0, v00F6A3C8_0; 1 drivers
v00F6A0B0_0 .net "s", 15 0, v00F6A420_0; 1 drivers
v00F6A108_0 .net "u", 15 0, v00F6A478_0; 1 drivers
E_00900418/0 .event edge, v00F68920_0, v00F69D40_0, v00F69D98_0, v00F69CE8_0;
E_00900418/1 .event edge, v00F69C90_0, v00F6A000_0, v00F6A058_0;
E_00900418 .event/or E_00900418/0, E_00900418/1;
E_009003B8 .event edge, v00F68920_0, v00F69D40_0;
E_009003D8/0 .event edge, v00F68920_0, v00F69F50_0, v00F69FA8_0, v00F6A108_0;
E_009003D8/1 .event edge, v00F6A0B0_0;
E_009003D8 .event/or E_009003D8/0, E_009003D8/1;
S_009115B8 .scope module, "dec_regs" "decode_regs" 3 163, 6 9, S_00912850;
.timescale -9 -9;
L_00E8F908 .functor BUFZ 4, v00E89920_0, C4<0000>, C4<0000>, C4<0000>;
L_00E8F978 .functor BUFZ 4, v00E896E0_0, C4<0000>, C4<0000>, C4<0000>;
v00E892C0_0 .net *"_s0", 3 0, C4<1111>; 1 drivers
v00E89318_0 .net *"_s10", 0 0, L_00A0F0C8; 1 drivers
v00E89370_0 .net *"_s12", 3 0, C4<1000>; 1 drivers
v00E893C8_0 .net *"_s14", 0 0, L_00A0F120; 1 drivers
v00E89420_0 .net *"_s16", 3 0, C4<0111>; 1 drivers
v00E89478_0 .net *"_s18", 0 0, L_00A0F178; 1 drivers
v00E894D0_0 .net/s *"_s20", 0 0, C4<1>; 1 drivers
v00E89528_0 .net/s *"_s22", 0 0, C4<0>; 1 drivers
v00E89580_0 .net *"_s24", 0 0, L_00A0F1D0; 1 drivers
v00E895D8_0 .net *"_s4", 3 0, C4<1000>; 1 drivers
v00E89630_0 .net *"_s8", 3 0, C4<1111>; 1 drivers
v00E89688_0 .alias "cpu_clk", 0 0, v00A070C8_0;
v00E896E0_0 .var "dest_reg", 3 0;
v00E89738_0 .var "dest_reg_lo", 3 0;
v00E89790_0 .alias "dest_reg_o", 3 0, v00A078E0_0;
v00E897E8_0 .net "opcode", 7 0, v00A085C8_0; 1 drivers
v00E89840_0 .net "page2_valid", 0 0, v00A08620_0; 1 drivers
v00E89898_0 .net "page3_valid", 0 0, v00A08678_0; 1 drivers
v00E89920_0 .var "path_left_addr", 3 0;
v00E899D0_0 .var "path_left_addr_lo", 3 0;
v00E89978_0 .alias "path_left_addr_o", 3 0, v00A07AF0_0;
v00E89A28_0 .var "path_right_addr", 3 0;
v00E89A80_0 .var "path_right_addr_lo", 3 0;
v00E89AD8_0 .alias "path_right_addr_o", 3 0, v00A07BA0_0;
v00E89B30_0 .net "postbyte0", 7 0, v00A086D0_0; 1 drivers
v00E89B88_0 .alias "result_size", 0 0, v00A07830_0;
v00E89BE0_0 .alias "source_size", 0 0, v00A07C50_0;
v00E89C38_0 .alias "write_dest", 0 0, v00A07D00_0;
E_00821CE0 .event posedge, v00E89688_0;
E_008225A0 .event edge, v00856AB8_0, v008565E8_0, v00856640_0, v00856590_0;
L_00A0F070 .cmp/ne 4, v00E896E0_0, C4<1111>;
L_00A0EFC0 .cmp/gt 4, C4<1000>, v00E89920_0;
L_00A0F0C8 .cmp/eq 4, v00E896E0_0, C4<1111>;
L_00A0F120 .cmp/gt 4, C4<1000>, v00E89920_0;
L_00A0F178 .cmp/gt 4, C4<0111>, v00E896E0_0;
L_00A0F1D0 .functor MUXZ 1, C4<0>, C4<1>, L_00A0F178, C4<>;
L_00A0F228 .functor MUXZ 1, L_00A0F1D0, L_00A0F120, L_00A0F0C8, C4<>;
S_00832CB8 .scope module, "dec_op" "decode_op" 3 180, 6 155, S_00832ED8;
L_00AEEEC8 .functor BUFZ 4, v00F69920_0, C4<0000>, C4<0000>, C4<0000>;
L_00AEEF38 .functor BUFZ 4, v00F696E0_0, C4<0000>, C4<0000>, C4<0000>;
v00F692C0_0 .net *"_s0", 3 0, C4<1111>; 1 drivers
v00F69318_0 .net *"_s10", 0 0, L_00AEDB18; 1 drivers
v00F69370_0 .net *"_s12", 3 0, C4<1000>; 1 drivers
v00F693C8_0 .net *"_s14", 0 0, L_00AEDB70; 1 drivers
v00F69420_0 .net *"_s16", 3 0, C4<0111>; 1 drivers
v00F69478_0 .net *"_s18", 0 0, L_00AEDBC8; 1 drivers
v00F694D0_0 .net/s *"_s20", 0 0, C4<1>; 1 drivers
v00F69528_0 .net/s *"_s22", 0 0, C4<0>; 1 drivers
v00F69580_0 .net *"_s24", 0 0, L_00AEDC20; 1 drivers
v00F695D8_0 .net *"_s4", 3 0, C4<1000>; 1 drivers
v00F69630_0 .net *"_s8", 3 0, C4<1111>; 1 drivers
v00F69688_0 .alias "cpu_clk", 0 0, v00AE5B18_0;
v00F696E0_0 .var "dest_reg", 3 0;
v00F69738_0 .var "dest_reg_lo", 3 0;
v00F69790_0 .alias "dest_reg_o", 3 0, v00AE6330_0;
v00F697E8_0 .net "opcode", 7 0, v00AE7018_0; 1 drivers
v00F69840_0 .net "page2_valid", 0 0, v00AE7070_0; 1 drivers
v00F69898_0 .net "page3_valid", 0 0, v00AE70C8_0; 1 drivers
v00F69920_0 .var "path_left_addr", 3 0;
v00F699D0_0 .var "path_left_addr_lo", 3 0;
v00F69978_0 .alias "path_left_addr_o", 3 0, v00AE6540_0;
v00F69A28_0 .var "path_right_addr", 3 0;
v00F69A80_0 .var "path_right_addr_lo", 3 0;
v00F69AD8_0 .alias "path_right_addr_o", 3 0, v00AE65F0_0;
v00F69B30_0 .net "postbyte0", 7 0, v00AE7120_0; 1 drivers
v00F69B88_0 .alias "result_size", 0 0, v00AE6280_0;
v00F69BE0_0 .alias "source_size", 0 0, v00AE66A0_0;
v00F69C38_0 .alias "write_dest", 0 0, v00AE6750_0;
E_00900478 .event posedge, v00F69688_0;
E_00900D38 .event edge, v00936508_0, v00936038_0, v00936090_0, v00935FE0_0;
L_00AEDAC0 .cmp/ne 4, v00F696E0_0, C4<1111>;
L_00AEDA10 .cmp/gt 4, C4<1000>, v00F69920_0;
L_00AEDB18 .cmp/eq 4, v00F696E0_0, C4<1111>;
L_00AEDB70 .cmp/gt 4, C4<1000>, v00F69920_0;
L_00AEDBC8 .cmp/gt 4, C4<0111>, v00F696E0_0;
L_00AEDC20 .functor MUXZ 1, C4<0>, C4<1>, L_00AEDBC8, C4<>;
L_00AEDC78 .functor MUXZ 1, L_00AEDC20, L_00AEDB70, L_00AEDB18, C4<>;
S_00912630 .scope module, "dec_op" "decode_op" 3 180, 6 165, S_00912850;
.timescale -9 -9;
v00E88B30_0 .var "mode", 2 0;
v00E88B88_0 .var "op_CWAI", 0 0;
v00E88BE0_0 .var "op_EXG", 0 0;
v00E88C38_0 .var "op_JMP", 0 0;
v00E88C90_0 .var "op_JSR", 0 0;
v00E88CE8_0 .var "op_LEA", 0 0;
v00E88D40_0 .var "op_MUL", 0 0;
v00E88D98_0 .var "op_PULL", 0 0;
v00E88DF0_0 .var "op_PUSH", 0 0;
v00E88E48_0 .var "op_RTI", 0 0;
v00E88EA0_0 .var "op_RTS", 0 0;
v00E88EF8_0 .var "op_SWI", 0 0;
v00E88F50_0 .var "op_SYNC", 0 0;
v00E88FA8_0 .var "op_TFR", 0 0;
v00E89000_0 .alias "opcode", 7 0, v00E897E8_0;
v00E89058_0 .net "oplo", 3 0, L_00A0F280; 1 drivers
v00E890B0_0 .var "optype", 2 0;
v00E89108_0 .alias "page2_valid", 0 0, v00E89840_0;
v00E89160_0 .alias "page3_valid", 0 0, v00E89898_0;
v00E89210_0 .alias "postbyte0", 7 0, v00E89B30_0;
v00E891B8_0 .var "size", 0 0;
v00E89268_0 .var "use_s", 0 0;
E_00821CC0/0 .event edge, v00E89058_0, v00856AB8_0, v008565E8_0, v00856640_0;
E_00821CC0/1 .event edge, v00856590_0;
E_00821CC0 .event/or E_00821CC0/0, E_00821CC0/1;
L_00A0F280 .part v00A085C8_0, 0, 4;
S_00832D40 .scope module, "dec_ea" "decode_ea" 3 202, 6 306, S_00832ED8;
v00F68B30_0 .var "mode", 2 0;
v00F68B88_0 .var "op_CWAI", 0 0;
v00F68BE0_0 .var "op_EXG", 0 0;
v00F68C38_0 .var "op_JMP", 0 0;
v00F68C90_0 .var "op_JSR", 0 0;
v00F68CE8_0 .var "op_LEA", 0 0;
v00F68D40_0 .var "op_MUL", 0 0;
v00F68D98_0 .var "op_PULL", 0 0;
v00F68DF0_0 .var "op_PUSH", 0 0;
v00F68E48_0 .var "op_RTI", 0 0;
v00F68EA0_0 .var "op_RTS", 0 0;
v00F68EF8_0 .var "op_SWI", 0 0;
v00F68F50_0 .var "op_SYNC", 0 0;
v00F68FA8_0 .var "op_TFR", 0 0;
v00F69000_0 .alias "opcode", 7 0, v00F697E8_0;
v00F69058_0 .net "oplo", 3 0, L_00AEDCD0; 1 drivers
v00F690B0_0 .var "optype", 2 0;
v00F69108_0 .alias "page2_valid", 0 0, v00F69840_0;
v00F69160_0 .alias "page3_valid", 0 0, v00F69898_0;
v00F69210_0 .alias "postbyte0", 7 0, v00F69B30_0;
v00F691B8_0 .var "size", 0 0;
v00F69268_0 .var "use_s", 0 0;
E_00900458/0 .event edge, v00F69058_0, v00936508_0, v00936038_0, v00936090_0;
E_00900458/1 .event edge, v00935FE0_0;
E_00900458 .event/or E_00900458/0, E_00900458/1;
L_00AEDCD0 .part v00AE7018_0, 0, 4;
S_009126B8 .scope module, "dec_ea" "decode_ea" 3 202, 6 316, S_00912850;
.timescale -9 -9;
L_00874980 .functor AND 1, L_00A0F2D8, L_00E8FFF0, C4<1>, C4<1>;
v00856B68_0 .net *"_s1", 0 0, L_00A0F2D8; 1 drivers
v00856BC0_0 .net *"_s3", 0 0, L_00E8FFF0; 1 drivers
v00856C18_0 .net *"_s4", 0 0, L_00874980; 1 drivers
v00856C70_0 .net *"_s6", 0 0, C4<1>; 1 drivers
v00856CC8_0 .net *"_s8", 0 0, C4<0>; 1 drivers
v00E88920_0 .alias "eapostbyte", 7 0, v00E8A920_0;
v00E88978_0 .alias "isind", 0 0, v00A07938_0;
v00E889D0_0 .var "noofs", 0 0;
v00E88A28_0 .var "ofs16", 0 0;
v00E88A80_0 .var "ofs8", 0 0;
v00E88AD8_0 .var "write_post", 0 0;
E_00821D00 .event edge, v00E88920_0;
L_00A0F2D8 .part v00A081D0_0, 7, 1;
L_00E8FFF0 .part v00A081D0_0, 4, 1;
L_00E90048 .functor MUXZ 1, C4<0>, C4<1>, L_00874980, C4<>;
S_00832DC8 .scope module, "dec_alu" "decode_alu" 3 214, 6 332, S_00832ED8;
L_009533D0 .functor AND 1, L_00AEDD28, L_00F6DF58, C4<1>, C4<1>;
v009365B8_0 .net *"_s1", 0 0, L_00AEDD28; 1 drivers
v00936610_0 .net *"_s3", 0 0, L_00F6DF58; 1 drivers
v00936668_0 .net *"_s4", 0 0, L_009533D0; 1 drivers
v009366C0_0 .net *"_s6", 0 0, C4<1>; 1 drivers
v00936718_0 .net *"_s8", 0 0, C4<0>; 1 drivers
v00F68920_0 .alias "eapostbyte", 7 0, v00F6A920_0;
v00F68978_0 .alias "isind", 0 0, v00AE6388_0;
v00F689D0_0 .var "noofs", 0 0;
v00F68A28_0 .var "ofs16", 0 0;
v00F68A80_0 .var "ofs8", 0 0;
v00F68AD8_0 .var "write_post", 0 0;
E_00900498 .event edge, v00F68920_0;
L_00AEDD28 .part v00AE6C20_0, 7, 1;
L_00F6DF58 .part v00AE6C20_0, 4, 1;
L_00F6DFB0 .functor MUXZ 1, C4<0>, C4<1>, L_009533D0, C4<>;
S_00912740 .scope module, "dec_alu" "decode_alu" 3 214, 6 342, S_00912850;
.timescale -9 -9;
L_00E8FBA8 .functor AND 1, L_00E900A0, L_00E900F8, C4<1>, C4<1>;
L_00E8FC88 .functor AND 1, L_00E8FBA8, L_00E90150, C4<1>, C4<1>;
v00856698_0 .net *"_s0", 4 0, C4<00000>; 1 drivers
v008566F0_0 .net *"_s10", 7 0, C4<00011100>; 1 drivers
v00856748_0 .net *"_s12", 0 0, L_00E90150; 1 drivers
v008567A0_0 .net *"_s2", 0 0, L_00E900A0; 1 drivers
v008567F8_0 .net *"_s4", 7 0, C4<00011010>; 1 drivers
v00856850_0 .net *"_s6", 0 0, L_00E900F8; 1 drivers
v008568A8_0 .net *"_s8", 0 0, L_00E8FBA8; 1 drivers
v00856900_0 .var "alu_opcode", 4 0;
v00856958_0 .var "dec_alu_right_path_mod", 1 0;
v008569B0_0 .alias "dest_flags", 0 0, v00A07D58_0;
v00856A08_0 .alias "opcode", 7 0, v00E897E8_0;
v00856A60_0 .alias "page2_valid", 0 0, v00E89840_0;
v00856AB8_0 .alias "page3_valid", 0 0, v00E89898_0;
v00856B10_0 .alias "postbyte0", 7 0, v00E89B30_0;
E_00821B60 .event edge, v00856590_0, v008565E8_0, v00856640_0, v00856AB8_0;
L_00E900A0 .cmp/ne 5, v00856900_0, C4<00000>;
L_00E900F8 .cmp/ne 8, v00A085C8_0, C4<00011010>;
L_00E90150 .cmp/ne 8, v00A085C8_0, C4<00011100>;
S_00832E50 .scope module, "test_cond" "test_condition" 3 224, 6 405, S_00832ED8;
L_00AEF168 .functor AND 1, L_00F6E008, L_00F6E060, C4<1>, C4<1>;
L_00AEF248 .functor AND 1, L_00AEF168, L_00F6E0B8, C4<1>, C4<1>;
v009360E8_0 .net *"_s0", 4 0, C4<00000>; 1 drivers
v00936140_0 .net *"_s10", 7 0, C4<00011100>; 1 drivers
v00936198_0 .net *"_s12", 0 0, L_00F6E0B8; 1 drivers
v009361F0_0 .net *"_s2", 0 0, L_00F6E008; 1 drivers
v00936248_0 .net *"_s4", 7 0, C4<00011010>; 1 drivers
v009362A0_0 .net *"_s6", 0 0, L_00F6E060; 1 drivers
v009362F8_0 .net *"_s8", 0 0, L_00AEF168; 1 drivers
v00936350_0 .var "alu_opcode", 4 0;
v009363A8_0 .var "dec_alu_right_path_mod", 1 0;
v00936400_0 .alias "dest_flags", 0 0, v00AE67A8_0;
v00936458_0 .alias "opcode", 7 0, v00F697E8_0;
v009364B0_0 .alias "page2_valid", 0 0, v00F69840_0;
v00936508_0 .alias "page3_valid", 0 0, v00F69898_0;
v00936560_0 .alias "postbyte0", 7 0, v00F69B30_0;
E_009002F8 .event edge, v00935FE0_0, v00936038_0, v00936090_0, v00936508_0;
L_00F6E008 .cmp/ne 5, v00936350_0, C4<00000>;
L_00F6E060 .cmp/ne 8, v00AE7018_0, C4<00011010>;
L_00F6E0B8 .cmp/ne 8, v00AE7018_0, C4<00011100>;
S_009127C8 .scope module, "test_cond" "test_condition" 3 224, 6 415, S_00912850;
.timescale -9 -9;
v00856488_0 .alias "CCR", 7 0, v00A090C8_0;
v008564E0_0 .var "cond_taken", 0 0;
v00856538_0 .net "op", 7 0, L_00E901A8; 1 drivers
v00856590_0 .alias "opcode", 7 0, v00E897E8_0;
v008565E8_0 .alias "page2_valid", 0 0, v00E89840_0;
v00856640_0 .alias "postbyte0", 7 0, v00E89B30_0;
E_00821BE0 .event edge, v00856538_0, v00856488_0;
L_00E901A8 .functor MUXZ 8, v00A085C8_0, v00A086D0_0, v00A08620_0, C4<>;
S_00832F60 .scope module, "imem" "memory" 2 33, 2 49, S_008334B0;
v00935ED8_0 .alias "CCR", 7 0, v00AE7B18_0;
v00935F30_0 .var "cond_taken", 0 0;
v00935F88_0 .net "op", 7 0, L_00F6E110; 1 drivers
v00935FE0_0 .alias "opcode", 7 0, v00F697E8_0;
v00936038_0 .alias "page2_valid", 0 0, v00F69840_0;
v00936090_0 .alias "postbyte0", 7 0, v00F69B30_0;
E_00900378 .event edge, v00935F88_0, v00935ED8_0;
L_00F6E110 .functor MUXZ 8, v00AE7018_0, v00AE7120_0, v00AE7070_0, C4<>;
S_009128D8 .scope module, "imem" "memory" 2 33, 2 49, S_00912E28;
.timescale -9 -9;
v008560C0_0 .alias "addr", 15 0, v00A0A3B8_0;
v00856118_0 .alias "data_i", 7 0, v00A0A518_0;
v00856170_0 .alias "data_o", 7 0, v00A0A4C0_0;
v008561C8_0 .var "latecheddata", 7 0;
v00856220 .array "mem", 0 65535, 7 0;
v00856220_0 .array/port v00856220, 0;
v00856278_0 .net "mem0", 7 0, v00856220_0; 1 drivers
v00856220_1 .array/port v00856220, 1;
v008562D0_0 .net "mem1", 7 0, v00856220_1; 1 drivers
v00856220_2 .array/port v00856220, 2;
v00856328_0 .net "mem2", 7 0, v00856220_2; 1 drivers
v00856220_3 .array/port v00856220, 3;
v00856380_0 .net "mem3", 7 0, v00856220_3; 1 drivers
v008563D8_0 .net "oe", 0 0, L_00E90200; 1 drivers
v00856430_0 .net "we", 0 0, L_00E90258; 1 drivers
E_00822FE0 .event negedge, v008563D8_0;
E_00822AC0 .event negedge, v00856430_0;
.scope S_00833318;
v00935B10_0 .alias "addr", 15 0, v00AE8E08_0;
v00935B68_0 .alias "data_i", 7 0, v00AE8F68_0;
v00935BC0_0 .alias "data_o", 7 0, v00AE8F10_0;
v00935C18_0 .var "latecheddata", 7 0;
v00935C70 .array "mem", 0 65535, 7 0;
v00935C70_0 .array/port v00935C70, 0;
v00935CC8_0 .net "mem0", 7 0, v00935C70_0; 1 drivers
v00935C70_1 .array/port v00935C70, 1;
v00935D20_0 .net "mem1", 7 0, v00935C70_1; 1 drivers
v00935C70_2 .array/port v00935C70, 2;
v00935D78_0 .net "mem2", 7 0, v00935C70_2; 1 drivers
v00935C70_3 .array/port v00935C70, 3;
v00935DD0_0 .net "mem3", 7 0, v00935C70_3; 1 drivers
v00935E28_0 .net "oe", 0 0, L_00F6E168; 1 drivers
v00935E80_0 .net "we", 0 0, L_00F6E1C0; 1 drivers
E_00901778 .event negedge, v00935E28_0;
E_00901258 .event negedge, v00935E80_0;
.scope S_00912C08;
T_0 ;
%wait E_00821CE0;
%load/v 8, v00A064C0_0, 1; Only need 1 of 8 bits
%wait E_00900478;
%load/v 8, v00AE4F10_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.0, 8;
%load/v 9, v00A06518_0, 8;
%load/v 9, v00AE4F68_0, 8;
%mov 17, 0, 8;
%jmp/1 T_0.2, 8;
T_0.0 ; End of true expr.
737,7 → 737,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_0.6, 4;
%load/x1p 8, v00A064C0_0, 1;
%load/x1p 8, v00AE4F10_0, 1;
%jmp T_0.7;
T_0.6 ;
%mov 8, 2, 1;
745,7 → 745,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.3, 8;
%mov 25, 0, 1;
%load/v 26, v00A06518_0, 8;
%load/v 26, v00AE4F68_0, 8;
%mov 34, 0, 7;
%jmp/1 T_0.5, 8;
T_0.3 ; End of true expr.
760,7 → 760,7
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_0.11, 4;
%load/x1p 8, v00A064C0_0, 1;
%load/x1p 8, v00AE4F10_0, 1;
%jmp T_0.12;
T_0.11 ;
%mov 8, 2, 1;
768,7 → 768,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.8, 8;
%mov 25, 0, 2;
%load/v 27, v00A06518_0, 8;
%load/v 27, v00AE4F68_0, 8;
%mov 35, 0, 6;
%jmp/1 T_0.10, 8;
T_0.8 ; End of true expr.
783,7 → 783,7
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_0.16, 4;
%load/x1p 8, v00A064C0_0, 1;
%load/x1p 8, v00AE4F10_0, 1;
%jmp T_0.17;
T_0.16 ;
%mov 8, 2, 1;
791,7 → 791,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.13, 8;
%mov 25, 0, 3;
%load/v 28, v00A06518_0, 8;
%load/v 28, v00AE4F68_0, 8;
%mov 36, 0, 5;
%jmp/1 T_0.15, 8;
T_0.13 ; End of true expr.
804,11 → 804,11
T_0.15 ;
%add 9, 25, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A065C8_0, 0, 9;
%assign/v0 v00AE5018_0, 0, 9;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_0.21, 4;
%load/x1p 8, v00A064C0_0, 1;
%load/x1p 8, v00AE4F10_0, 1;
%jmp T_0.22;
T_0.21 ;
%mov 8, 2, 1;
816,7 → 816,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.18, 8;
%mov 9, 0, 4;
%load/v 13, v00A06518_0, 8;
%load/v 13, v00AE4F68_0, 8;
%mov 21, 0, 4;
%jmp/1 T_0.20, 8;
T_0.18 ; End of true expr.
830,7 → 830,7
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_0.26, 4;
%load/x1p 8, v00A064C0_0, 1;
%load/x1p 8, v00AE4F10_0, 1;
%jmp T_0.27;
T_0.26 ;
%mov 8, 2, 1;
838,7 → 838,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.23, 8;
%mov 25, 0, 5;
%load/v 30, v00A06518_0, 8;
%load/v 30, v00AE4F68_0, 8;
%mov 38, 0, 3;
%jmp/1 T_0.25, 8;
T_0.23 ; End of true expr.
853,7 → 853,7
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_0.31, 4;
%load/x1p 8, v00A064C0_0, 1;
%load/x1p 8, v00AE4F10_0, 1;
%jmp T_0.32;
T_0.31 ;
%mov 8, 2, 1;
861,7 → 861,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.28, 8;
%mov 25, 0, 6;
%load/v 31, v00A06518_0, 8;
%load/v 31, v00AE4F68_0, 8;
%mov 39, 0, 2;
%jmp/1 T_0.30, 8;
T_0.28 ; End of true expr.
876,7 → 876,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_0.36, 4;
%load/x1p 8, v00A064C0_0, 1;
%load/x1p 8, v00AE4F10_0, 1;
%jmp T_0.37;
T_0.36 ;
%mov 8, 2, 1;
884,7 → 884,7
; Save base=8 wid=1 in lookaside.
%jmp/0 T_0.33, 8;
%mov 25, 0, 7;
%load/v 32, v00A06518_0, 8;
%load/v 32, v00AE4F68_0, 8;
%mov 40, 0, 1;
%jmp/1 T_0.35, 8;
T_0.33 ; End of true expr.
896,16 → 896,16
%mov 25, 0, 16; Return false value
T_0.35 ;
%add 9, 25, 16;
%load/v 25, v00A065C8_0, 16;
%load/v 25, v00AE5018_0, 16;
%add 9, 25, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A06620_0, 0, 9;
%assign/v0 v00AE5070_0, 0, 9;
%jmp T_0;
.thread T_0;
.scope S_00832BA8;
.scope S_00912C90;
T_1 ;
%wait E_00865B38;
%load/v 8, v00E8C790_0, 2;
%wait E_00945128;
%load/v 8, v00F6C790_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_1.0, 6;
%cmpi/u 8, 1, 2;
916,34 → 916,34
%jmp/1 T_1.3, 6;
%jmp T_1.4;
T_1.0 ;
%load/v 8, v00E8C738_0, 8;
%set/v v00E8C7E8_0, 8, 8;
%load/v 8, v00F6C738_0, 8;
%set/v v00F6C7E8_0, 8, 8;
%jmp T_1.4;
T_1.1 ;
%load/v 8, v00E8C6E0_0, 8;
%load/v 16, v00E8C738_0, 8;
%load/v 8, v00F6C6E0_0, 8;
%load/v 16, v00F6C738_0, 8;
%and 8, 16, 8;
%set/v v00E8C7E8_0, 8, 8;
%set/v v00F6C7E8_0, 8, 8;
%jmp T_1.4;
T_1.2 ;
%load/v 8, v00E8C6E0_0, 8;
%load/v 16, v00E8C738_0, 8;
%load/v 8, v00F6C6E0_0, 8;
%load/v 16, v00F6C738_0, 8;
%or 8, 16, 8;
%set/v v00E8C7E8_0, 8, 8;
%set/v v00F6C7E8_0, 8, 8;
%jmp T_1.4;
T_1.3 ;
%load/v 8, v00E8C6E0_0, 8;
%load/v 16, v00E8C738_0, 8;
%load/v 8, v00F6C6E0_0, 8;
%load/v 16, v00F6C738_0, 8;
%xor 8, 16, 8;
%set/v v00E8C7E8_0, 8, 8;
%set/v v00F6C7E8_0, 8, 8;
%jmp T_1.4;
T_1.4 ;
%jmp T_1;
.thread T_1, $push;
.scope S_00832B20;
.scope S_00912520;
T_2 ;
%wait E_00865BB8;
%load/v 8, v00E8C5D8_0, 1; Only need 1 of 2 bits
%wait E_009451A8;
%load/v 8, v00F6C5D8_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
%cmpi/u 8, 0, 1;
%jmp/1 T_2.0, 6;
951,36 → 951,36
%jmp/1 T_2.1, 6;
%jmp T_2.2;
T_2.0 ;
%load/v 8, v00E8C370_0, 8;
%load/v 8, v00F6C370_0, 8;
%mov 16, 0, 1;
%load/v 17, v00E8C3C8_0, 8;
%load/v 17, v00F6C3C8_0, 8;
%mov 25, 0, 1;
%add 8, 17, 9;
%load/v 17, v00E8C420_0, 1;
%load/v 17, v00F6C420_0, 1;
%mov 18, 0, 8;
%add 8, 17, 9;
%set/v v00E8C688_0, 8, 8;
%set/v v00E8C4D0_0, 16, 1;
%set/v v00F6C688_0, 8, 8;
%set/v v00F6C4D0_0, 16, 1;
%jmp T_2.2;
T_2.1 ;
%load/v 8, v00E8C370_0, 8;
%load/v 8, v00F6C370_0, 8;
%mov 16, 0, 1;
%load/v 17, v00E8C3C8_0, 8;
%load/v 17, v00F6C3C8_0, 8;
%mov 25, 0, 1;
%sub 8, 17, 9;
%load/v 17, v00E8C420_0, 1;
%load/v 17, v00F6C420_0, 1;
%mov 18, 0, 8;
%sub 8, 17, 9;
%set/v v00E8C688_0, 8, 8;
%set/v v00E8C4D0_0, 16, 1;
%set/v v00F6C688_0, 8, 8;
%set/v v00F6C4D0_0, 16, 1;
%jmp T_2.2;
T_2.2 ;
%jmp T_2;
.thread T_2, $push;
.scope S_00832B20;
.scope S_00912520;
T_3 ;
%wait E_00865C18;
%load/v 8, v00E8C5D8_0, 1; Only need 1 of 2 bits
%wait E_00945208;
%load/v 8, v00F6C5D8_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
%cmpi/u 8, 0, 1;
%jmp/1 T_3.0, 6;
991,7 → 991,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.3, 4;
%load/x1p 8, v00E8C370_0, 1;
%load/x1p 8, v00F6C370_0, 1;
%jmp T_3.4;
T_3.3 ;
%mov 8, 2, 1;
1000,7 → 1000,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.5, 4;
%load/x1p 9, v00E8C3C8_0, 1;
%load/x1p 9, v00F6C3C8_0, 1;
%jmp T_3.6;
T_3.5 ;
%mov 9, 2, 1;
1010,7 → 1010,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.7, 4;
%load/x1p 9, v00E8C688_0, 1;
%load/x1p 9, v00F6C688_0, 1;
%jmp T_3.8;
T_3.7 ;
%mov 9, 2, 1;
1021,7 → 1021,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.9, 4;
%load/x1p 9, v00E8C370_0, 1;
%load/x1p 9, v00F6C370_0, 1;
%jmp T_3.10;
T_3.9 ;
%mov 9, 2, 1;
1031,7 → 1031,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.11, 4;
%load/x1p 10, v00E8C3C8_0, 1;
%load/x1p 10, v00F6C3C8_0, 1;
%jmp T_3.12;
T_3.11 ;
%mov 10, 2, 1;
1042,7 → 1042,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.13, 4;
%load/x1p 10, v00E8C688_0, 1;
%load/x1p 10, v00F6C688_0, 1;
%jmp T_3.14;
T_3.13 ;
%mov 10, 2, 1;
1050,13 → 1050,13
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v00E8C630_0, 8, 1;
%set/v v00F6C630_0, 8, 1;
%jmp T_3.2;
T_3.1 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.15, 4;
%load/x1p 8, v00E8C370_0, 1;
%load/x1p 8, v00F6C370_0, 1;
%jmp T_3.16;
T_3.15 ;
%mov 8, 2, 1;
1065,7 → 1065,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.17, 4;
%load/x1p 9, v00E8C3C8_0, 1;
%load/x1p 9, v00F6C3C8_0, 1;
%jmp T_3.18;
T_3.17 ;
%mov 9, 2, 1;
1076,7 → 1076,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.19, 4;
%load/x1p 9, v00E8C688_0, 1;
%load/x1p 9, v00F6C688_0, 1;
%jmp T_3.20;
T_3.19 ;
%mov 9, 2, 1;
1087,7 → 1087,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.21, 4;
%load/x1p 9, v00E8C370_0, 1;
%load/x1p 9, v00F6C370_0, 1;
%jmp T_3.22;
T_3.21 ;
%mov 9, 2, 1;
1097,7 → 1097,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.23, 4;
%load/x1p 10, v00E8C3C8_0, 1;
%load/x1p 10, v00F6C3C8_0, 1;
%jmp T_3.24;
T_3.23 ;
%mov 10, 2, 1;
1107,7 → 1107,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_3.25, 4;
%load/x1p 10, v00E8C688_0, 1;
%load/x1p 10, v00F6C688_0, 1;
%jmp T_3.26;
T_3.25 ;
%mov 10, 2, 1;
1115,15 → 1115,15
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v00E8C630_0, 8, 1;
%set/v v00F6C630_0, 8, 1;
%jmp T_3.2;
T_3.2 ;
%jmp T_3;
.thread T_3, $push;
.scope S_00832B20;
.scope S_00912520;
T_4 ;
%wait E_00865BF8;
%load/v 8, v00E8C5D8_0, 1; Only need 1 of 2 bits
%wait E_009451E8;
%load/v 8, v00F6C5D8_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
%cmpi/u 8, 0, 1;
%jmp/1 T_4.0, 6;
1134,7 → 1134,7
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_4.3, 4;
%load/x1p 8, v00E8C370_0, 1;
%load/x1p 8, v00F6C370_0, 1;
%jmp T_4.4;
T_4.3 ;
%mov 8, 2, 1;
1143,7 → 1143,7
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_4.5, 4;
%load/x1p 9, v00E8C3C8_0, 1;
%load/x1p 9, v00F6C3C8_0, 1;
%jmp T_4.6;
T_4.5 ;
%mov 9, 2, 1;
1153,7 → 1153,7
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_4.7, 4;
%load/x1p 9, v00E8C688_0, 1;
%load/x1p 9, v00F6C688_0, 1;
%jmp T_4.8;
T_4.7 ;
%mov 9, 2, 1;
1160,22 → 1160,22
T_4.8 ;
; Save base=9 wid=1 in lookaside.
%xor 8, 9, 1;
%set/v v00E8C580_0, 8, 1;
%set/v v00F6C580_0, 8, 1;
%jmp T_4.2;
T_4.1 ;
%load/v 8, v00E8C528_0, 1;
%set/v v00E8C580_0, 8, 1;
%load/v 8, v00F6C528_0, 1;
%set/v v00F6C580_0, 8, 1;
%jmp T_4.2;
T_4.2 ;
%jmp T_4;
.thread T_4, $push;
.scope S_008326E0;
.scope S_00912498;
T_5 ;
%wait E_00865C38;
%wait E_00945228;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_5.0, 4;
%load/x1p 16, v00E8C000_0, 7;
%load/x1p 16, v00F6C000_0, 7;
%jmp T_5.1;
T_5.0 ;
%mov 16, 2, 7;
1184,14 → 1184,14
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_5.2, 4;
%load/x1p 16, v00E8C000_0, 1;
%load/x1p 16, v00F6C000_0, 1;
%jmp T_5.3;
T_5.2 ;
%mov 16, 2, 1;
T_5.3 ;
%mov 15, 16, 1; Move signal select into place
%set/v v00E8C268_0, 8, 8;
%load/v 8, v00E8C160_0, 3;
%set/v v00F6C268_0, 8, 8;
%load/v 8, v00F6C160_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_5.4, 6;
%cmpi/u 8, 1, 3;
1207,7 → 1207,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_5.10, 4;
%load/x1p 16, v00E8C000_0, 7;
%load/x1p 16, v00F6C000_0, 7;
%jmp T_5.11;
T_5.10 ;
%mov 16, 2, 7;
1214,36 → 1214,36
T_5.11 ;
%mov 8, 16, 7; Move signal select into place
%mov 15, 0, 1;
%set/v v00E8C268_0, 8, 8;
%set/v v00F6C268_0, 8, 8;
%jmp T_5.9;
T_5.5 ;
%mov 8, 0, 1;
%load/v 9, v00E8C000_0, 7; Select 7 out of 8 bits
%set/v v00E8C268_0, 8, 8;
%load/v 9, v00F6C000_0, 7; Select 7 out of 8 bits
%set/v v00F6C268_0, 8, 8;
%jmp T_5.9;
T_5.6 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_5.12, 4;
%load/x1p 16, v00E8C000_0, 7;
%load/x1p 16, v00F6C000_0, 7;
%jmp T_5.13;
T_5.12 ;
%mov 16, 2, 7;
T_5.13 ;
%mov 8, 16, 7; Move signal select into place
%load/v 15, v00E8C0B0_0, 1;
%set/v v00E8C268_0, 8, 8;
%load/v 15, v00F6C0B0_0, 1;
%set/v v00F6C268_0, 8, 8;
%jmp T_5.9;
T_5.7 ;
%load/v 8, v00E8C0B0_0, 1;
%load/v 9, v00E8C000_0, 7; Select 7 out of 8 bits
%set/v v00E8C268_0, 8, 8;
%load/v 8, v00F6C0B0_0, 1;
%load/v 9, v00F6C000_0, 7; Select 7 out of 8 bits
%set/v v00F6C268_0, 8, 8;
%jmp T_5.9;
T_5.8 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_5.14, 4;
%load/x1p 16, v00E8C000_0, 7;
%load/x1p 16, v00F6C000_0, 7;
%jmp T_5.15;
T_5.14 ;
%mov 16, 2, 7;
1252,23 → 1252,23
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_5.16, 4;
%load/x1p 16, v00E8C000_0, 1;
%load/x1p 16, v00F6C000_0, 1;
%jmp T_5.17;
T_5.16 ;
%mov 16, 2, 1;
T_5.17 ;
%mov 15, 16, 1; Move signal select into place
%set/v v00E8C268_0, 8, 8;
%set/v v00F6C268_0, 8, 8;
%jmp T_5.9;
T_5.9 ;
%jmp T_5;
.thread T_5, $push;
.scope S_008326E0;
.scope S_00912498;
T_6 ;
%wait E_00865D38;
%load/v 8, v00E8C1B8_0, 1;
%set/v v00E8C210_0, 8, 1;
%load/v 8, v00E8C160_0, 3;
%wait E_00945328;
%load/v 8, v00F6C1B8_0, 1;
%set/v v00F6C210_0, 8, 1;
%load/v 8, v00F6C160_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_6.0, 6;
%cmpi/u 8, 1, 3;
1281,14 → 1281,14
%jmp/1 T_6.4, 6;
%jmp T_6.5;
T_6.0 ;
%load/v 8, v00E8C1B8_0, 1;
%set/v v00E8C210_0, 8, 1;
%load/v 8, v00F6C1B8_0, 1;
%set/v v00F6C210_0, 8, 1;
%jmp T_6.5;
T_6.1 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_6.6, 4;
%load/x1p 8, v00E8C000_0, 1;
%load/x1p 8, v00F6C000_0, 1;
%jmp T_6.7;
T_6.6 ;
%mov 8, 2, 1;
1297,7 → 1297,7
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_6.8, 4;
%load/x1p 9, v00E8C000_0, 1;
%load/x1p 9, v00F6C000_0, 1;
%jmp T_6.9;
T_6.8 ;
%mov 9, 2, 1;
1304,17 → 1304,17
T_6.9 ;
; Save base=9 wid=1 in lookaside.
%xor 8, 9, 1;
%set/v v00E8C210_0, 8, 1;
%set/v v00F6C210_0, 8, 1;
%jmp T_6.5;
T_6.2 ;
%load/v 8, v00E8C1B8_0, 1;
%set/v v00E8C210_0, 8, 1;
%load/v 8, v00F6C1B8_0, 1;
%set/v v00F6C210_0, 8, 1;
%jmp T_6.5;
T_6.3 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_6.10, 4;
%load/x1p 8, v00E8C000_0, 1;
%load/x1p 8, v00F6C000_0, 1;
%jmp T_6.11;
T_6.10 ;
%mov 8, 2, 1;
1323,7 → 1323,7
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_6.12, 4;
%load/x1p 9, v00E8C000_0, 1;
%load/x1p 9, v00F6C000_0, 1;
%jmp T_6.13;
T_6.12 ;
%mov 9, 2, 1;
1330,26 → 1330,26
T_6.13 ;
; Save base=9 wid=1 in lookaside.
%xor 8, 9, 1;
%set/v v00E8C210_0, 8, 1;
%set/v v00F6C210_0, 8, 1;
%jmp T_6.5;
T_6.4 ;
%load/v 8, v00E8C1B8_0, 1;
%set/v v00E8C210_0, 8, 1;
%load/v 8, v00F6C1B8_0, 1;
%set/v v00F6C210_0, 8, 1;
%jmp T_6.5;
T_6.5 ;
%jmp T_6;
.thread T_6, $push;
.scope S_008323B0;
.scope S_00912058;
T_7 ;
%wait E_00865E58;
%set/v v00A06120_0, 0, 8;
%load/v 8, v00A05BA0_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A05F10_0, 1;
%set/v v00A05EB8_0, 8, 1;
%load/v 8, v00A06360_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00A060C8_0, 5;
%wait E_00945448;
%set/v v00AE4B70_0, 0, 8;
%load/v 8, v00AE45F0_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE4960_0, 1;
%set/v v00AE4908_0, 8, 1;
%load/v 8, v00AE4DB0_0, 1;
%set/v v00AE4D28_0, 8, 1;
%load/v 8, v00AE4B18_0, 5;
%cmpi/u 8, 1, 5;
%jmp/1 T_7.0, 6;
%cmpi/u 8, 8, 5;
1395,7 → 1395,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_7.24, 4;
%load/x1p 8, v00A05938_0, 1;
%load/x1p 8, v00AE4388_0, 1;
%jmp T_7.25;
T_7.24 ;
%mov 8, 2, 1;
1412,158 → 1412,158
T_7.22 ;
%mov 9, 0, 8; Return false value
T_7.23 ;
%set/v v00A06120_0, 9, 8;
%set/v v00AE4B70_0, 9, 8;
%jmp T_7.20;
T_7.1 ;
%load/v 8, v00A05A40_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A05990_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A05A98_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00A059E8_0, 1;
%set/v v00A05EB8_0, 8, 1;
%load/v 8, v00AE4490_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE43E0_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE44E8_0, 1;
%set/v v00AE4D28_0, 8, 1;
%load/v 8, v00AE4438_0, 1;
%set/v v00AE4908_0, 8, 1;
%jmp T_7.20;
T_7.2 ;
%load/v 8, v00A05A40_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A05990_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A05A98_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00A059E8_0, 1;
%set/v v00A05EB8_0, 8, 1;
%load/v 8, v00AE4490_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE43E0_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE44E8_0, 1;
%set/v v00AE4D28_0, 8, 1;
%load/v 8, v00AE4438_0, 1;
%set/v v00AE4908_0, 8, 1;
%jmp T_7.20;
T_7.3 ;
%load/v 8, v00A05A40_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A05990_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A05A98_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00A059E8_0, 1;
%set/v v00A05EB8_0, 8, 1;
%load/v 8, v00AE4490_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE43E0_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE44E8_0, 1;
%set/v v00AE4D28_0, 8, 1;
%load/v 8, v00AE4438_0, 1;
%set/v v00AE4908_0, 8, 1;
%jmp T_7.20;
T_7.4 ;
%load/v 8, v00A05A40_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A05990_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A05A98_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00A059E8_0, 1;
%set/v v00A05EB8_0, 8, 1;
%load/v 8, v00AE4490_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE43E0_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE44E8_0, 1;
%set/v v00AE4D28_0, 8, 1;
%load/v 8, v00AE4438_0, 1;
%set/v v00AE4908_0, 8, 1;
%jmp T_7.20;
T_7.5 ;
%load/v 8, v00A05A40_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A05A98_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00AE4490_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE44E8_0, 1;
%set/v v00AE4D28_0, 8, 1;
%jmp T_7.20;
T_7.6 ;
%load/v 8, v00A05A40_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A05A98_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00AE4490_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE44E8_0, 1;
%set/v v00AE4D28_0, 8, 1;
%jmp T_7.20;
T_7.7 ;
%load/v 8, v00A05D58_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A05D58_0, 8;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A063B8_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00AE47A8_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE47A8_0, 8;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE4E08_0, 1;
%set/v v00AE4D28_0, 8, 1;
%jmp T_7.20;
T_7.8 ;
%load/v 8, v00A06018_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A05D00_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A06410_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00AE4A68_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE4750_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE4E60_0, 1;
%set/v v00AE4D28_0, 8, 1;
%jmp T_7.20;
T_7.9 ;
%load/v 8, v00A06228_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A061D0_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A06280_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00AE4C78_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE4C20_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE4CD0_0, 1;
%set/v v00AE4D28_0, 8, 1;
%jmp T_7.20;
T_7.10 ;
%load/v 8, v00A06228_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A061D0_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A06280_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00AE4C78_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE4C20_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE4CD0_0, 1;
%set/v v00AE4D28_0, 8, 1;
%jmp T_7.20;
T_7.11 ;
%load/v 8, v00A06228_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A061D0_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A06280_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00AE4C78_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE4C20_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE4CD0_0, 1;
%set/v v00AE4D28_0, 8, 1;
%jmp T_7.20;
T_7.12 ;
%load/v 8, v00A06228_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A061D0_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A06280_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00AE4C78_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE4C20_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE4CD0_0, 1;
%set/v v00AE4D28_0, 8, 1;
%jmp T_7.20;
T_7.13 ;
%load/v 8, v00A06228_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A061D0_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00A06280_0, 1;
%set/v v00A062D8_0, 8, 1;
%load/v 8, v00AE4C78_0, 8;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE4C20_0, 1;
%set/v v00AE4598_0, 8, 1;
%load/v 8, v00AE4CD0_0, 1;
%set/v v00AE4D28_0, 8, 1;
%jmp T_7.20;
T_7.14 ;
%load/v 8, v00A05F68_0, 8;
%set/v v00A06120_0, 8, 8;
%set/v v00A062D8_0, 0, 1;
%load/v 8, v00AE49B8_0, 8;
%set/v v00AE4B70_0, 8, 8;
%set/v v00AE4D28_0, 0, 1;
%jmp T_7.20;
T_7.15 ;
%load/v 8, v00A05F68_0, 8;
%set/v v00A06120_0, 8, 8;
%set/v v00A062D8_0, 0, 1;
%load/v 8, v00AE49B8_0, 8;
%set/v v00AE4B70_0, 8, 8;
%set/v v00AE4D28_0, 0, 1;
%jmp T_7.20;
T_7.16 ;
%load/v 8, v00A05F68_0, 8;
%set/v v00A06120_0, 8, 8;
%set/v v00A062D8_0, 0, 1;
%load/v 8, v00AE49B8_0, 8;
%set/v v00AE4B70_0, 8, 8;
%set/v v00AE4D28_0, 0, 1;
%jmp T_7.20;
T_7.17 ;
%load/v 8, v00A05F68_0, 8;
%set/v v00A06120_0, 8, 8;
%set/v v00A062D8_0, 0, 1;
%load/v 8, v00AE49B8_0, 8;
%set/v v00AE4B70_0, 8, 8;
%set/v v00AE4D28_0, 0, 1;
%jmp T_7.20;
T_7.18 ;
%load/v 8, v00A05E60_0, 4; Select 4 out of 8 bits
%load/v 12, v00A05E08_0, 4;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00A05C50_0, 1;
%set/v v00A05B48_0, 8, 1;
%load/v 8, v00AE48B0_0, 4; Select 4 out of 8 bits
%load/v 12, v00AE4858_0, 4;
%set/v v00AE4B70_0, 8, 8;
%load/v 8, v00AE46A0_0, 1;
%set/v v00AE4598_0, 8, 1;
%jmp T_7.20;
T_7.19 ;
%load/v 8, v00A05938_0, 8;
%set/v v00A06120_0, 8, 8;
%load/v 8, v00AE4388_0, 8;
%set/v v00AE4B70_0, 8, 8;
%jmp T_7.20;
T_7.20 ;
%jmp T_7;
.thread T_7, $push;
.scope S_008323B0;
.scope S_00912058;
T_8 ;
%wait E_00823A20;
%load/v 8, v00A06120_0, 8;
%set/v v00A06178_0, 8, 8;
%load/v 8, v00A05B48_0, 1;
%load/v 9, v00A062D8_0, 1;
%load/v 16, v00A06120_0, 8;
%wait E_009021B8;
%load/v 8, v00AE4B70_0, 8;
%set/v v00AE4BC8_0, 8, 8;
%load/v 8, v00AE4598_0, 1;
%load/v 9, v00AE4D28_0, 1;
%load/v 16, v00AE4B70_0, 8;
%cmpi/u 16, 0, 8;
%mov 16, 4, 1;
%mov 10, 16, 1;
1570,7 → 1570,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_8.0, 4;
%load/x1p 16, v00A06120_0, 1;
%load/x1p 16, v00AE4B70_0, 1;
%jmp T_8.1;
T_8.0 ;
%mov 16, 2, 1;
1579,33 → 1579,33
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_8.2, 4;
%load/x1p 16, v00E8C840_0, 1;
%load/x1p 16, v00F6C840_0, 1;
%jmp T_8.3;
T_8.2 ;
%mov 16, 2, 1;
T_8.3 ;
%mov 12, 16, 1; Move signal select into place
%load/v 13, v00A05EB8_0, 1;
%load/v 13, v00AE4908_0, 1;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_8.4, 4;
%load/x1p 16, v00E8C840_0, 2;
%load/x1p 16, v00F6C840_0, 2;
%jmp T_8.5;
T_8.4 ;
%mov 16, 2, 2;
T_8.5 ;
%mov 14, 16, 2; Move signal select into place
%set/v v00E8C898_0, 8, 8;
%set/v v00F6C898_0, 8, 8;
%jmp T_8;
.thread T_8, $push;
.scope S_008323B0;
.scope S_00912058;
T_9 ;
%end;
.thread T_9;
.scope S_00831B30;
.scope S_00911D28;
T_10 ;
%wait E_00824D80;
%load/v 8, v00E8B5D8_0, 2;
%wait E_00903518;
%load/v 8, v00F6B5D8_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_10.0, 6;
%cmpi/u 8, 1, 2;
1616,58 → 1616,58
%jmp/1 T_10.3, 6;
%jmp T_10.4;
T_10.0 ;
%load/v 8, v00E8B478_0, 16;
%load/v 8, v00F6B478_0, 16;
%mov 24, 0, 1;
%load/v 25, v00E8B4D0_0, 16;
%load/v 25, v00F6B4D0_0, 16;
%mov 41, 0, 1;
%add 8, 25, 17;
%set/v v00E8B688_0, 8, 16;
%set/v v00E8B580_0, 24, 1;
%set/v v00F6B688_0, 8, 16;
%set/v v00F6B580_0, 24, 1;
%jmp T_10.4;
T_10.1 ;
%load/v 8, v00E8B478_0, 16;
%load/v 8, v00F6B478_0, 16;
%mov 24, 0, 1;
%load/v 25, v00E8B4D0_0, 16;
%load/v 25, v00F6B4D0_0, 16;
%mov 41, 0, 1;
%sub 8, 25, 17;
%set/v v00E8B688_0, 8, 16;
%set/v v00E8B580_0, 24, 1;
%set/v v00F6B688_0, 8, 16;
%set/v v00F6B580_0, 24, 1;
%jmp T_10.4;
T_10.2 ;
%load/v 8, v00E8B478_0, 16;
%load/v 8, v00F6B478_0, 16;
%mov 24, 0, 1;
%load/v 25, v00E8B4D0_0, 16;
%load/v 25, v00F6B4D0_0, 16;
%mov 41, 0, 1;
%add 8, 25, 17;
%load/v 42, v00E8B528_0, 1;
%load/v 42, v00F6B528_0, 1;
%mov 43, 0, 8;
%mov 25, 42, 9;
%mov 34, 0, 8;
%add 8, 25, 17;
%set/v v00E8B688_0, 8, 16;
%set/v v00E8B580_0, 24, 1;
%set/v v00F6B688_0, 8, 16;
%set/v v00F6B580_0, 24, 1;
%jmp T_10.4;
T_10.3 ;
%load/v 8, v00E8B478_0, 16;
%load/v 8, v00F6B478_0, 16;
%mov 24, 0, 1;
%load/v 25, v00E8B4D0_0, 16;
%load/v 25, v00F6B4D0_0, 16;
%mov 41, 0, 1;
%sub 8, 25, 17;
%load/v 42, v00E8B528_0, 1;
%load/v 42, v00F6B528_0, 1;
%mov 43, 0, 8;
%mov 25, 42, 9;
%mov 34, 0, 8;
%sub 8, 25, 17;
%set/v v00E8B688_0, 8, 16;
%set/v v00E8B580_0, 24, 1;
%set/v v00F6B688_0, 8, 16;
%set/v v00F6B580_0, 24, 1;
%jmp T_10.4;
T_10.4 ;
%jmp T_10;
.thread T_10, $push;
.scope S_00831B30;
.scope S_00911D28;
T_11 ;
%wait E_008233E0;
%load/v 8, v00E8B5D8_0, 2;
%wait E_00901B78;
%load/v 8, v00F6B5D8_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_11.0, 6;
%cmpi/u 8, 2, 2;
1681,7 → 1681,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.5, 4;
%load/x1p 8, v00E8B478_0, 1;
%load/x1p 8, v00F6B478_0, 1;
%jmp T_11.6;
T_11.5 ;
%mov 8, 2, 1;
1690,7 → 1690,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.7, 4;
%load/x1p 9, v00E8B4D0_0, 1;
%load/x1p 9, v00F6B4D0_0, 1;
%jmp T_11.8;
T_11.7 ;
%mov 9, 2, 1;
1700,7 → 1700,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.9, 4;
%load/x1p 9, v00E8B688_0, 1;
%load/x1p 9, v00F6B688_0, 1;
%jmp T_11.10;
T_11.9 ;
%mov 9, 2, 1;
1711,7 → 1711,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.11, 4;
%load/x1p 9, v00E8B478_0, 1;
%load/x1p 9, v00F6B478_0, 1;
%jmp T_11.12;
T_11.11 ;
%mov 9, 2, 1;
1721,7 → 1721,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.13, 4;
%load/x1p 10, v00E8B4D0_0, 1;
%load/x1p 10, v00F6B4D0_0, 1;
%jmp T_11.14;
T_11.13 ;
%mov 10, 2, 1;
1732,7 → 1732,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_11.15, 4;
%load/x1p 10, v00E8B688_0, 1;
%load/x1p 10, v00F6B688_0, 1;
%jmp T_11.16;
T_11.15 ;
%mov 10, 2, 1;
1740,13 → 1740,13
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v00E8B630_0, 8, 1;
%set/v v00F6B630_0, 8, 1;
%jmp T_11.4;
T_11.1 ;
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.17, 4;
%load/x1p 8, v00E8B478_0, 1;
%load/x1p 8, v00F6B478_0, 1;
%jmp T_11.18;
T_11.17 ;
%mov 8, 2, 1;
1755,7 → 1755,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.19, 4;
%load/x1p 9, v00E8B4D0_0, 1;
%load/x1p 9, v00F6B4D0_0, 1;
%jmp T_11.20;
T_11.19 ;
%mov 9, 2, 1;
1765,7 → 1765,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.21, 4;
%load/x1p 9, v00E8B688_0, 1;
%load/x1p 9, v00F6B688_0, 1;
%jmp T_11.22;
T_11.21 ;
%mov 9, 2, 1;
1776,7 → 1776,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.23, 4;
%load/x1p 9, v00E8B478_0, 1;
%load/x1p 9, v00F6B478_0, 1;
%jmp T_11.24;
T_11.23 ;
%mov 9, 2, 1;
1786,7 → 1786,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.25, 4;
%load/x1p 10, v00E8B4D0_0, 1;
%load/x1p 10, v00F6B4D0_0, 1;
%jmp T_11.26;
T_11.25 ;
%mov 10, 2, 1;
1797,7 → 1797,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_11.27, 4;
%load/x1p 10, v00E8B688_0, 1;
%load/x1p 10, v00F6B688_0, 1;
%jmp T_11.28;
T_11.27 ;
%mov 10, 2, 1;
1805,13 → 1805,13
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v00E8B630_0, 8, 1;
%set/v v00F6B630_0, 8, 1;
%jmp T_11.4;
T_11.2 ;
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.29, 4;
%load/x1p 8, v00E8B478_0, 1;
%load/x1p 8, v00F6B478_0, 1;
%jmp T_11.30;
T_11.29 ;
%mov 8, 2, 1;
1820,7 → 1820,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.31, 4;
%load/x1p 9, v00E8B4D0_0, 1;
%load/x1p 9, v00F6B4D0_0, 1;
%jmp T_11.32;
T_11.31 ;
%mov 9, 2, 1;
1831,7 → 1831,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.33, 4;
%load/x1p 9, v00E8B688_0, 1;
%load/x1p 9, v00F6B688_0, 1;
%jmp T_11.34;
T_11.33 ;
%mov 9, 2, 1;
1842,7 → 1842,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.35, 4;
%load/x1p 9, v00E8B478_0, 1;
%load/x1p 9, v00F6B478_0, 1;
%jmp T_11.36;
T_11.35 ;
%mov 9, 2, 1;
1852,7 → 1852,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.37, 4;
%load/x1p 10, v00E8B4D0_0, 1;
%load/x1p 10, v00F6B4D0_0, 1;
%jmp T_11.38;
T_11.37 ;
%mov 10, 2, 1;
1862,7 → 1862,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_11.39, 4;
%load/x1p 10, v00E8B688_0, 1;
%load/x1p 10, v00F6B688_0, 1;
%jmp T_11.40;
T_11.39 ;
%mov 10, 2, 1;
1870,13 → 1870,13
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v00E8B630_0, 8, 1;
%set/v v00F6B630_0, 8, 1;
%jmp T_11.4;
T_11.3 ;
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.41, 4;
%load/x1p 8, v00E8B478_0, 1;
%load/x1p 8, v00F6B478_0, 1;
%jmp T_11.42;
T_11.41 ;
%mov 8, 2, 1;
1885,7 → 1885,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.43, 4;
%load/x1p 9, v00E8B4D0_0, 1;
%load/x1p 9, v00F6B4D0_0, 1;
%jmp T_11.44;
T_11.43 ;
%mov 9, 2, 1;
1896,7 → 1896,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.45, 4;
%load/x1p 9, v00E8B688_0, 1;
%load/x1p 9, v00F6B688_0, 1;
%jmp T_11.46;
T_11.45 ;
%mov 9, 2, 1;
1907,7 → 1907,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.47, 4;
%load/x1p 9, v00E8B478_0, 1;
%load/x1p 9, v00F6B478_0, 1;
%jmp T_11.48;
T_11.47 ;
%mov 9, 2, 1;
1917,7 → 1917,7
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_11.49, 4;
%load/x1p 10, v00E8B4D0_0, 1;
%load/x1p 10, v00F6B4D0_0, 1;
%jmp T_11.50;
T_11.49 ;
%mov 10, 2, 1;
1927,7 → 1927,7
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_11.51, 4;
%load/x1p 10, v00E8B688_0, 1;
%load/x1p 10, v00F6B688_0, 1;
%jmp T_11.52;
T_11.51 ;
%mov 10, 2, 1;
1935,20 → 1935,20
; Save base=10 wid=1 in lookaside.
%and 9, 10, 1;
%or 8, 9, 1;
%set/v v00E8B630_0, 8, 1;
%set/v v00F6B630_0, 8, 1;
%jmp T_11.4;
T_11.4 ;
%jmp T_11;
.thread T_11, $push;
.scope S_00832190;
.scope S_00911B08;
T_12 ;
%wait E_008233C0;
%set/v v00E8BB88_0, 0, 16;
%load/v 8, v00E8B9D0_0, 1;
%set/v v00E8B978_0, 8, 1;
%load/v 8, v00E8BD98_0, 1;
%set/v v00E8BDF0_0, 8, 1;
%load/v 8, v00E8BB30_0, 5;
%wait E_00901B58;
%set/v v00F6BB88_0, 0, 16;
%load/v 8, v00F6B9D0_0, 1;
%set/v v00F6B978_0, 8, 1;
%load/v 8, v00F6BD98_0, 1;
%set/v v00F6BDF0_0, 8, 1;
%load/v 8, v00F6BB30_0, 5;
%cmpi/u 8, 8, 5;
%jmp/1 T_12.0, 6;
%cmpi/u 8, 10, 5;
1969,66 → 1969,66
%jmp/1 T_12.8, 6;
%jmp T_12.9;
T_12.0 ;
%load/v 8, v00E8B840_0, 16;
%set/v v00E8BB88_0, 8, 16;
%load/v 8, v00E8B7E8_0, 1;
%set/v v00E8B978_0, 8, 1;
%load/v 8, v00E8B898_0, 1;
%set/v v00E8BDF0_0, 8, 1;
%load/v 8, v00F6B840_0, 16;
%set/v v00F6BB88_0, 8, 16;
%load/v 8, v00F6B7E8_0, 1;
%set/v v00F6B978_0, 8, 1;
%load/v 8, v00F6B898_0, 1;
%set/v v00F6BDF0_0, 8, 1;
%jmp T_12.9;
T_12.1 ;
%load/v 8, v00E8B840_0, 16;
%set/v v00E8BB88_0, 8, 16;
%load/v 8, v00E8B7E8_0, 1;
%set/v v00E8B978_0, 8, 1;
%load/v 8, v00E8B898_0, 1;
%set/v v00E8BDF0_0, 8, 1;
%load/v 8, v00F6B840_0, 16;
%set/v v00F6BB88_0, 8, 16;
%load/v 8, v00F6B7E8_0, 1;
%set/v v00F6B978_0, 8, 1;
%load/v 8, v00F6B898_0, 1;
%set/v v00F6BDF0_0, 8, 1;
%jmp T_12.9;
T_12.2 ;
%load/v 8, v00E8B840_0, 16;
%set/v v00E8BB88_0, 8, 16;
%load/v 8, v00E8B7E8_0, 1;
%set/v v00E8B978_0, 8, 1;
%load/v 8, v00E8B898_0, 1;
%set/v v00E8BDF0_0, 8, 1;
%load/v 8, v00F6B840_0, 16;
%set/v v00F6BB88_0, 8, 16;
%load/v 8, v00F6B7E8_0, 1;
%set/v v00F6B978_0, 8, 1;
%load/v 8, v00F6B898_0, 1;
%set/v v00F6BDF0_0, 8, 1;
%jmp T_12.9;
T_12.3 ;
%load/v 8, v00E8B840_0, 16;
%set/v v00E8BB88_0, 8, 16;
%load/v 8, v00E8B7E8_0, 1;
%set/v v00E8B978_0, 8, 1;
%load/v 8, v00E8B898_0, 1;
%set/v v00E8BDF0_0, 8, 1;
%load/v 8, v00F6B840_0, 16;
%set/v v00F6BB88_0, 8, 16;
%load/v 8, v00F6B7E8_0, 1;
%set/v v00F6B978_0, 8, 1;
%load/v 8, v00F6B898_0, 1;
%set/v v00F6BDF0_0, 8, 1;
%jmp T_12.9;
T_12.4 ;
%load/v 8, v00E8BBE0_0, 16;
%set/v v00E8BB88_0, 8, 16;
%load/v 8, v00F6BBE0_0, 16;
%set/v v00F6BB88_0, 8, 16;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_12.10, 4;
%load/x1p 8, v00E8BBE0_0, 1;
%load/x1p 8, v00F6BBE0_0, 1;
%jmp T_12.11;
T_12.10 ;
%mov 8, 2, 1;
T_12.11 ;
; Save base=8 wid=1 in lookaside.
%set/v v00E8B978_0, 8, 1;
%set/v v00F6B978_0, 8, 1;
%jmp T_12.9;
T_12.5 ;
%set/v v00E8BDF0_0, 0, 1;
%load/v 8, v00E8B920_0, 16;
%set/v v00E8BB88_0, 8, 16;
%set/v v00F6BDF0_0, 0, 1;
%load/v 8, v00F6B920_0, 16;
%set/v v00F6BB88_0, 8, 16;
%jmp T_12.9;
T_12.6 ;
%load/v 8, v00E8B790_0, 16;
%set/v v00E8BB88_0, 8, 16;
%load/v 8, v00F6B790_0, 16;
%set/v v00F6BB88_0, 8, 16;
%jmp T_12.9;
T_12.7 ;
%load/v 8, v00E8B920_0, 8; Select 8 out of 16 bits
%load/v 8, v00F6B920_0, 8; Select 8 out of 16 bits
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_12.15, 4;
%load/x1p 24, v00E8B920_0, 1;
%load/x1p 24, v00F6B920_0, 1;
%jmp T_12.16;
T_12.15 ;
%mov 24, 2, 1;
2046,47 → 2046,47
%mov 25, 0, 8; Return false value
T_12.14 ;
%mov 16, 25, 8;
%set/v v00E8BB88_0, 8, 16;
%set/v v00F6BB88_0, 8, 16;
%jmp T_12.9;
T_12.8 ;
%load/v 8, v00E8B790_0, 16;
%set/v v00E8BB88_0, 8, 16;
%load/v 8, v00F6B790_0, 16;
%set/v v00F6BB88_0, 8, 16;
%jmp T_12.9;
T_12.9 ;
%jmp T_12;
.thread T_12, $push;
.scope S_00832190;
.scope S_00911B08;
T_13 ;
%wait E_00821CE0;
%load/v 8, v00E8BB88_0, 16;
%wait E_00900478;
%load/v 8, v00F6BB88_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8BD40_0, 0, 8;
%load/v 8, v00E8BAD8_0, 1;
%assign/v0 v00F6BD40_0, 0, 8;
%load/v 8, v00F6BAD8_0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00E8BC90_0, 0, 8;
%load/v 8, v00E8BEA0_0, 1;
%assign/v0 v00F6BC90_0, 0, 8;
%load/v 8, v00F6BEA0_0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00E8BCE8_0, 0, 8;
%assign/v0 v00F6BCE8_0, 0, 8;
%jmp T_13;
.thread T_13;
.scope S_00832190;
.scope S_00911B08;
T_14 ;
%wait E_00823440;
%wait E_00901BD8;
%ix/load 1, 15, 0;
%mov 4, 0, 1;
%jmp/1 T_14.0, 4;
%load/x1p 8, v00E8BB88_0, 1;
%load/x1p 8, v00F6BB88_0, 1;
%jmp T_14.1;
T_14.0 ;
%mov 8, 2, 1;
T_14.1 ;
; Save base=8 wid=1 in lookaside.
%set/v v00E8BA80_0, 8, 1;
%load/v 8, v00E8BB88_0, 16;
%set/v v00F6BA80_0, 8, 1;
%load/v 8, v00F6BB88_0, 16;
%cmpi/u 8, 0, 16;
%mov 8, 4, 1;
%set/v v00E8BE48_0, 8, 1;
%load/v 8, v00E8BB30_0, 5;
%set/v v00F6BE48_0, 8, 1;
%load/v 8, v00F6BB30_0, 5;
%cmpi/u 8, 8, 5;
%jmp/1 T_14.2, 6;
%cmpi/u 8, 10, 5;
2155,8 → 2155,8
T_14.15 ;
%jmp T_14.21;
T_14.16 ;
%load/v 8, v00E8BC90_0, 1;
%set/v v00E8BA80_0, 8, 1;
%load/v 8, v00F6BC90_0, 1;
%set/v v00F6BA80_0, 8, 1;
%jmp T_14.21;
T_14.17 ;
%jmp T_14.21;
2163,79 → 2163,79
T_14.18 ;
%jmp T_14.21;
T_14.19 ;
%load/v 8, v00E8BC90_0, 1;
%set/v v00E8BA80_0, 8, 1;
%load/v 8, v00E8BCE8_0, 1;
%set/v v00E8BE48_0, 8, 1;
%load/v 8, v00F6BC90_0, 1;
%set/v v00F6BA80_0, 8, 1;
%load/v 8, v00F6BCE8_0, 1;
%set/v v00F6BE48_0, 8, 1;
%jmp T_14.21;
T_14.20 ;
%load/v 8, v00E8BC90_0, 1;
%set/v v00E8BA80_0, 8, 1;
%load/v 8, v00F6BC90_0, 1;
%set/v v00F6BA80_0, 8, 1;
%jmp T_14.21;
T_14.21 ;
%jmp T_14;
.thread T_14, $push;
.scope S_00832190;
.scope S_00911B08;
T_15 ;
%wait E_00823140;
%load/v 8, v00E8BB88_0, 16;
%set/v v00E8BC38_0, 8, 16;
%load/v 8, v00E8B978_0, 1;
%load/v 9, v00E8BDF0_0, 1;
%load/v 10, v00E8BE48_0, 1;
%load/v 11, v00E8BA80_0, 1;
%set/v v00E8B738_0, 8, 4;
%wait E_009018D8;
%load/v 8, v00F6BB88_0, 16;
%set/v v00F6BC38_0, 8, 16;
%load/v 8, v00F6B978_0, 1;
%load/v 9, v00F6BDF0_0, 1;
%load/v 10, v00F6BE48_0, 1;
%load/v 11, v00F6BA80_0, 1;
%set/v v00F6B738_0, 8, 4;
%jmp T_15;
.thread T_15, $push;
.scope S_00832218;
.scope S_00911B90;
T_16 ;
%wait E_00821CE0;
%load/v 8, v00A06780_0, 16;
%wait E_00900478;
%load/v 8, v00AE51D0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A06AF0_0, 0, 8;
%load/v 8, v00A067D8_0, 16;
%assign/v0 v00AE5540_0, 0, 8;
%load/v 8, v00AE5228_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A06B48_0, 0, 8;
%load/v 8, v00A06938_0, 5;
%assign/v0 v00AE5598_0, 0, 8;
%load/v 8, v00AE5388_0, 5;
%ix/load 0, 5, 0;
%assign/v0 v00A06BA0_0, 0, 8;
%assign/v0 v00AE55F0_0, 0, 8;
%jmp T_16;
.thread T_16;
.scope S_00832218;
.scope S_00911B90;
T_17 ;
%wait E_00823940;
%load/v 8, v00A06BF8_0, 1;
%wait E_009020D8;
%load/v 8, v00AE5648_0, 1;
%jmp/0xz T_17.0, 8;
%load/v 8, v00A069E8_0, 16;
%set/v v00A06A98_0, 8, 16;
%load/v 8, v00A06830_0, 4;
%load/v 8, v00AE5438_0, 16;
%set/v v00AE54E8_0, 8, 16;
%load/v 8, v00AE5280_0, 4;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_17.2, 4;
%load/x1p 16, v00A066D0_0, 4;
%load/x1p 16, v00AE5120_0, 4;
%jmp T_17.3;
T_17.2 ;
%mov 16, 2, 4;
T_17.3 ;
%mov 12, 16, 4; Move signal select into place
%set/v v00A06728_0, 8, 8;
%set/v v00AE5178_0, 8, 8;
%jmp T_17.1;
T_17.0 ;
%load/v 8, v00A06A40_0, 8;
%load/v 8, v00AE5490_0, 8;
%mov 16, 0, 8;
%set/v v00A06A98_0, 8, 16;
%load/v 8, v00A06888_0, 8;
%set/v v00A06728_0, 8, 8;
%set/v v00AE54E8_0, 8, 16;
%load/v 8, v00AE52D8_0, 8;
%set/v v00AE5178_0, 8, 8;
T_17.1 ;
%jmp T_17;
.thread T_17, $push;
.scope S_008322A0;
.scope S_00911C18;
T_18 ;
%wait E_00821C40;
%wait E_009003D8;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_18.0, 4;
%load/x1p 8, v00E89EF8_0, 2;
%load/x1p 8, v00F69EF8_0, 2;
%jmp T_18.1;
T_18.0 ;
%mov 8, 2, 2;
2251,28 → 2251,28
%jmp/1 T_18.5, 6;
%jmp T_18.6;
T_18.2 ;
%load/v 8, v00E89F50_0, 16;
%set/v v00E89D40_0, 8, 16;
%load/v 8, v00F69F50_0, 16;
%set/v v00F69D40_0, 8, 16;
%jmp T_18.6;
T_18.3 ;
%load/v 8, v00E89FA8_0, 16;
%set/v v00E89D40_0, 8, 16;
%load/v 8, v00F69FA8_0, 16;
%set/v v00F69D40_0, 8, 16;
%jmp T_18.6;
T_18.4 ;
%load/v 8, v00E8A108_0, 16;
%set/v v00E89D40_0, 8, 16;
%load/v 8, v00F6A108_0, 16;
%set/v v00F69D40_0, 8, 16;
%jmp T_18.6;
T_18.5 ;
%load/v 8, v00E8A0B0_0, 16;
%set/v v00E89D40_0, 8, 16;
%load/v 8, v00F6A0B0_0, 16;
%set/v v00F69D40_0, 8, 16;
%jmp T_18.6;
T_18.6 ;
%jmp T_18;
.thread T_18, $push;
.scope S_008322A0;
.scope S_00911C18;
T_19 ;
%wait E_00821C20;
%load/v 8, v00E89EF8_0, 2; Only need 2 of 8 bits
%wait E_009003B8;
%load/v 8, v00F69EF8_0, 2; Only need 2 of 8 bits
; Save base=8 wid=2 in lookaside.
%cmpi/u 8, 0, 2;
%jmp/1 T_19.0, 6;
2285,33 → 2285,33
%jmp T_19.4;
T_19.0 ;
%ix/load 0, 1, 0;
%load/vp0 8, v00E89D40_0, 16;
%set/v v00E89D98_0, 8, 16;
%load/vp0 8, v00F69D40_0, 16;
%set/v v00F69D98_0, 8, 16;
%jmp T_19.4;
T_19.1 ;
%ix/load 0, 2, 0;
%load/vp0 8, v00E89D40_0, 16;
%set/v v00E89D98_0, 8, 16;
%load/vp0 8, v00F69D40_0, 16;
%set/v v00F69D98_0, 8, 16;
%jmp T_19.4;
T_19.2 ;
%load/v 8, v00E89D40_0, 16;
%load/v 8, v00F69D40_0, 16;
%subi 8, 1, 16;
%set/v v00E89D98_0, 8, 16;
%set/v v00F69D98_0, 8, 16;
%jmp T_19.4;
T_19.3 ;
%load/v 8, v00E89D40_0, 16;
%load/v 8, v00F69D40_0, 16;
%subi 8, 2, 16;
%set/v v00E89D98_0, 8, 16;
%set/v v00F69D98_0, 8, 16;
%jmp T_19.4;
T_19.4 ;
%jmp T_19;
.thread T_19, $push;
.scope S_008322A0;
.scope S_00911C18;
T_20 ;
%wait E_00821C80;
%wait E_00900418;
%movi 8, 65261, 16;
%set/v v00E89E48_0, 8, 16;
%load/v 8, v00E89EF8_0, 8;
%set/v v00F69E48_0, 8, 16;
%load/v 8, v00F69EF8_0, 8;
%mov 16, 2, 4;
%movi 20, 0, 1;
%mov 21, 2, 2;
2386,46 → 2386,46
%jmp/1 T_20.13, 4;
%jmp T_20.14;
T_20.0 ;
%load/v 8, v00E89D40_0, 16;
%load/v 24, v00E89EF8_0, 4; Select 4 out of 8 bits
%load/v 8, v00F69D40_0, 16;
%load/v 24, v00F69EF8_0, 4; Select 4 out of 8 bits
%mov 28, 0, 12;
%add 8, 24, 16;
%set/v v00E89E48_0, 8, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.1 ;
%load/v 8, v00E89D40_0, 16;
%load/v 24, v00E89EF8_0, 4; Select 4 out of 8 bits
%load/v 8, v00F69D40_0, 16;
%load/v 24, v00F69EF8_0, 4; Select 4 out of 8 bits
%mov 28, 1, 12;
%add 8, 24, 16;
%set/v v00E89E48_0, 8, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.2 ;
%load/v 8, v00E89D40_0, 16;
%set/v v00E89E48_0, 8, 16;
%load/v 8, v00F69D40_0, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.3 ;
%load/v 8, v00E89D40_0, 16;
%set/v v00E89E48_0, 8, 16;
%load/v 8, v00F69D40_0, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.4 ;
%load/v 8, v00E89D40_0, 16;
%set/v v00E89E48_0, 8, 16;
%load/v 8, v00F69D40_0, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.5 ;
%load/v 8, v00E89D98_0, 16;
%set/v v00E89E48_0, 8, 16;
%load/v 8, v00F69D98_0, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.6 ;
%load/v 8, v00E89D98_0, 16;
%set/v v00E89E48_0, 8, 16;
%load/v 8, v00F69D98_0, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.7 ;
%load/v 8, v00E89D40_0, 16;
%load/v 24, v00E89CE8_0, 8;
%load/v 8, v00F69D40_0, 16;
%load/v 24, v00F69CE8_0, 8;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_20.15, 4;
%load/x1p 48, v00E89CE8_0, 1;
%load/x1p 48, v00F69CE8_0, 1;
%jmp T_20.16;
T_20.15 ;
%mov 48, 2, 1;
2440,15 → 2440,15
%mov 41, 40, 1; Repetition 2
%mov 32, 40, 8;
%add 8, 24, 16;
%set/v v00E89E48_0, 8, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.8 ;
%load/v 8, v00E89D40_0, 16;
%load/v 24, v00E89C90_0, 8;
%load/v 8, v00F69D40_0, 16;
%load/v 24, v00F69C90_0, 8;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_20.17, 4;
%load/x1p 48, v00E89C90_0, 1;
%load/x1p 48, v00F69C90_0, 1;
%jmp T_20.18;
T_20.17 ;
%mov 48, 2, 1;
2463,22 → 2463,22
%mov 41, 40, 1; Repetition 2
%mov 32, 40, 8;
%add 8, 24, 16;
%set/v v00E89E48_0, 8, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.9 ;
%load/v 8, v00E89D40_0, 16;
%load/v 24, v00E89CE8_0, 8;
%load/v 32, v00E89C90_0, 8;
%load/v 8, v00F69D40_0, 16;
%load/v 24, v00F69CE8_0, 8;
%load/v 32, v00F69C90_0, 8;
%add 8, 24, 16;
%set/v v00E89E48_0, 8, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.10 ;
%load/v 8, v00E89D40_0, 16;
%load/v 24, v00E8A000_0, 8; Select 8 out of 16 bits
%load/v 8, v00F69D40_0, 16;
%load/v 24, v00F6A000_0, 8; Select 8 out of 16 bits
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_20.22, 4;
%load/x1p 40, v00E8A000_0, 1;
%load/x1p 40, v00F6A000_0, 1;
%jmp T_20.23;
T_20.22 ;
%mov 40, 2, 1;
2497,21 → 2497,21
T_20.21 ;
%mov 32, 41, 8;
%add 8, 24, 16;
%set/v v00E89E48_0, 8, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.11 ;
%load/v 8, v00E89D40_0, 16;
%load/v 24, v00E8A000_0, 16;
%load/v 8, v00F69D40_0, 16;
%load/v 24, v00F6A000_0, 16;
%add 8, 24, 16;
%set/v v00E89E48_0, 8, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.12 ;
%load/v 8, v00E8A058_0, 16;
%load/v 24, v00E8A000_0, 8; Select 8 out of 16 bits
%load/v 8, v00F6A058_0, 16;
%load/v 24, v00F6A000_0, 8; Select 8 out of 16 bits
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_20.27, 4;
%load/x1p 40, v00E8A000_0, 1;
%load/x1p 40, v00F6A000_0, 1;
%jmp T_20.28;
T_20.27 ;
%mov 40, 2, 1;
2530,21 → 2530,21
T_20.26 ;
%mov 32, 41, 8;
%add 8, 24, 16;
%set/v v00E89E48_0, 8, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.13 ;
%load/v 8, v00E8A058_0, 16;
%load/v 24, v00E8A000_0, 16;
%load/v 8, v00F6A058_0, 16;
%load/v 24, v00F6A000_0, 16;
%add 8, 24, 16;
%set/v v00E89E48_0, 8, 16;
%set/v v00F69E48_0, 8, 16;
%jmp T_20.14;
T_20.14 ;
%jmp T_20;
.thread T_20, $push;
.scope S_00832328;
.scope S_00911CA0;
T_21 ;
%wait E_00821C60;
%load/v 8, v00E8AD40_0, 4;
%wait E_009003F8;
%load/v 8, v00F6AD40_0, 4;
%cmpi/u 8, 8, 4;
%jmp/1 T_21.0, 6;
%cmpi/u 8, 9, 4;
2565,76 → 2565,76
%jmp/1 T_21.8, 6;
%cmpi/u 8, 10, 4;
%jmp/1 T_21.9, 6;
%set/v v00E8AD98_0, 1, 16;
%set/v v00F6AD98_0, 1, 16;
%jmp T_21.11;
T_21.0 ;
%load/v 8, v00E8A160_0, 8;
%load/v 8, v00F6A160_0, 8;
%mov 16, 1, 8;
%set/v v00E8AD98_0, 8, 16;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.1 ;
%load/v 8, v00E8A1B8_0, 8;
%load/v 8, v00F6A1B8_0, 8;
%mov 16, 1, 8;
%set/v v00E8AD98_0, 8, 16;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.2 ;
%load/v 8, v00E8A1B8_0, 8;
%load/v 16, v00E8A160_0, 8;
%set/v v00E8AD98_0, 8, 16;
%load/v 8, v00F6A1B8_0, 8;
%load/v 16, v00F6A160_0, 8;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.3 ;
%load/v 8, v00E8A318_0, 16;
%set/v v00E8AD98_0, 8, 16;
%load/v 8, v00F6A318_0, 16;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.4 ;
%load/v 8, v00E8A370_0, 16;
%set/v v00E8AD98_0, 8, 16;
%load/v 8, v00F6A370_0, 16;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.5 ;
%load/v 8, v00E8A478_0, 16;
%set/v v00E8AD98_0, 8, 16;
%load/v 8, v00F6A478_0, 16;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.6 ;
%load/v 8, v00E8A420_0, 16;
%set/v v00E8AD98_0, 8, 16;
%load/v 8, v00F6A420_0, 16;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.7 ;
%load/v 8, v00E8A3C8_0, 16;
%set/v v00E8AD98_0, 8, 16;
%load/v 8, v00F6A3C8_0, 16;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.8 ;
%load/v 8, v00E8A2C0_0, 8;
%load/v 16, v00E8A2C0_0, 8;
%set/v v00E8AD98_0, 8, 16;
%load/v 8, v00F6A2C0_0, 8;
%load/v 16, v00F6A2C0_0, 8;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.9 ;
%load/v 24, v00E8A630_0, 1;
%load/v 25, v00E8B160_0, 1;
%load/v 26, v00E8B420_0, 1;
%load/v 27, v00E8AC90_0, 1;
%load/v 28, v00E8AB88_0, 1;
%load/v 29, v00E8AA80_0, 1;
%load/v 30, v00E8AA28_0, 1;
%load/v 31, v00E8A978_0, 1;
%load/v 24, v00F6A630_0, 1;
%load/v 25, v00F6B160_0, 1;
%load/v 26, v00F6B420_0, 1;
%load/v 27, v00F6AC90_0, 1;
%load/v 28, v00F6AB88_0, 1;
%load/v 29, v00F6AA80_0, 1;
%load/v 30, v00F6AA28_0, 1;
%load/v 31, v00F6A978_0, 1;
%mov 8, 24, 8;
%load/v 24, v00E8A630_0, 1;
%load/v 25, v00E8B160_0, 1;
%load/v 26, v00E8B420_0, 1;
%load/v 27, v00E8AC90_0, 1;
%load/v 28, v00E8AB88_0, 1;
%load/v 29, v00E8AA80_0, 1;
%load/v 30, v00E8AA28_0, 1;
%load/v 31, v00E8A978_0, 1;
%load/v 24, v00F6A630_0, 1;
%load/v 25, v00F6B160_0, 1;
%load/v 26, v00F6B420_0, 1;
%load/v 27, v00F6AC90_0, 1;
%load/v 28, v00F6AB88_0, 1;
%load/v 29, v00F6AA80_0, 1;
%load/v 30, v00F6AA28_0, 1;
%load/v 31, v00F6A978_0, 1;
%mov 16, 24, 8;
%set/v v00E8AD98_0, 8, 16;
%set/v v00F6AD98_0, 8, 16;
%jmp T_21.11;
T_21.11 ;
%jmp T_21;
.thread T_21, $push;
.scope S_00832328;
.scope S_00911CA0;
T_22 ;
%wait E_00822580;
%load/v 8, v00E8ADF0_0, 4;
%wait E_00900D18;
%load/v 8, v00F6ADF0_0, 4;
%cmpi/u 8, 8, 4;
%jmp/1 T_22.0, 6;
%cmpi/u 8, 9, 4;
2655,80 → 2655,80
%jmp/1 T_22.8, 6;
%cmpi/u 8, 10, 4;
%jmp/1 T_22.9, 6;
%set/v v00E8AE48_0, 1, 16;
%set/v v00F6AE48_0, 1, 16;
%jmp T_22.11;
T_22.0 ;
%load/v 8, v00E8A160_0, 8;
%load/v 8, v00F6A160_0, 8;
%mov 16, 1, 8;
%set/v v00E8AE48_0, 8, 16;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.1 ;
%load/v 8, v00E8A1B8_0, 8;
%load/v 8, v00F6A1B8_0, 8;
%mov 16, 1, 8;
%set/v v00E8AE48_0, 8, 16;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.2 ;
%load/v 8, v00E8A1B8_0, 8;
%load/v 16, v00E8A160_0, 8;
%set/v v00E8AE48_0, 8, 16;
%load/v 8, v00F6A1B8_0, 8;
%load/v 16, v00F6A160_0, 8;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.3 ;
%load/v 8, v00E8A318_0, 16;
%set/v v00E8AE48_0, 8, 16;
%load/v 8, v00F6A318_0, 16;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.4 ;
%load/v 8, v00E8A370_0, 16;
%set/v v00E8AE48_0, 8, 16;
%load/v 8, v00F6A370_0, 16;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.5 ;
%load/v 8, v00E8A478_0, 16;
%set/v v00E8AE48_0, 8, 16;
%load/v 8, v00F6A478_0, 16;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.6 ;
%load/v 8, v00E8A420_0, 16;
%set/v v00E8AE48_0, 8, 16;
%load/v 8, v00F6A420_0, 16;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.7 ;
%load/v 8, v00E8A3C8_0, 16;
%set/v v00E8AE48_0, 8, 16;
%load/v 8, v00F6A3C8_0, 16;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.8 ;
%load/v 8, v00E8A2C0_0, 8;
%load/v 16, v00E8A2C0_0, 8;
%set/v v00E8AE48_0, 8, 16;
%load/v 8, v00F6A2C0_0, 8;
%load/v 16, v00F6A2C0_0, 8;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.9 ;
%load/v 24, v00E8A630_0, 1;
%load/v 25, v00E8B160_0, 1;
%load/v 26, v00E8B420_0, 1;
%load/v 27, v00E8AC90_0, 1;
%load/v 28, v00E8AB88_0, 1;
%load/v 29, v00E8AA80_0, 1;
%load/v 30, v00E8AA28_0, 1;
%load/v 31, v00E8A978_0, 1;
%load/v 24, v00F6A630_0, 1;
%load/v 25, v00F6B160_0, 1;
%load/v 26, v00F6B420_0, 1;
%load/v 27, v00F6AC90_0, 1;
%load/v 28, v00F6AB88_0, 1;
%load/v 29, v00F6AA80_0, 1;
%load/v 30, v00F6AA28_0, 1;
%load/v 31, v00F6A978_0, 1;
%mov 8, 24, 8;
%load/v 24, v00E8A630_0, 1;
%load/v 25, v00E8B160_0, 1;
%load/v 26, v00E8B420_0, 1;
%load/v 27, v00E8AC90_0, 1;
%load/v 28, v00E8AB88_0, 1;
%load/v 29, v00E8AA80_0, 1;
%load/v 30, v00E8AA28_0, 1;
%load/v 31, v00E8A978_0, 1;
%load/v 24, v00F6A630_0, 1;
%load/v 25, v00F6B160_0, 1;
%load/v 26, v00F6B420_0, 1;
%load/v 27, v00F6AC90_0, 1;
%load/v 28, v00F6AB88_0, 1;
%load/v 29, v00F6AA80_0, 1;
%load/v 30, v00F6AA28_0, 1;
%load/v 31, v00F6A978_0, 1;
%mov 16, 24, 8;
%set/v v00E8AE48_0, 8, 16;
%set/v v00F6AE48_0, 8, 16;
%jmp T_22.11;
T_22.11 ;
%jmp T_22;
.thread T_22, $push;
.scope S_00832328;
.scope S_00911CA0;
T_23 ;
%wait E_00821CE0;
%load/v 8, v00E8B1B8_0, 1;
%load/v 9, v00E8AAD8_0, 1;
%wait E_00900478;
%load/v 8, v00F6B1B8_0, 1;
%load/v 9, v00F6AAD8_0, 1;
%or 8, 9, 1;
%jmp/0xz T_23.0, 8;
%load/v 8, v00E8B058_0, 4;
%load/v 8, v00F6B058_0, 4;
%cmpi/u 8, 0, 4;
%jmp/1 T_23.2, 6;
%cmpi/u 8, 1, 4;
2751,84 → 2751,84
%jmp/1 T_23.11, 6;
%jmp T_23.12;
T_23.2 ;
%load/v 8, v00E8B000_0, 16;
%load/v 8, v00F6B000_0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00E8A1B8_0, 0, 8;
%assign/v0 v00F6A1B8_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00E8A160_0, 0, 16;
%assign/v0 v00F6A160_0, 0, 16;
%jmp T_23.12;
T_23.3 ;
%load/v 8, v00E8B000_0, 16;
%load/v 8, v00F6B000_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A318_0, 0, 8;
%assign/v0 v00F6A318_0, 0, 8;
%jmp T_23.12;
T_23.4 ;
%load/v 8, v00E8B000_0, 16;
%load/v 8, v00F6B000_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A370_0, 0, 8;
%assign/v0 v00F6A370_0, 0, 8;
%jmp T_23.12;
T_23.5 ;
%load/v 8, v00E8B000_0, 16;
%load/v 8, v00F6B000_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A478_0, 0, 8;
%assign/v0 v00F6A478_0, 0, 8;
%jmp T_23.12;
T_23.6 ;
%load/v 8, v00E8B000_0, 16;
%load/v 8, v00F6B000_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A420_0, 0, 8;
%assign/v0 v00F6A420_0, 0, 8;
%jmp T_23.12;
T_23.7 ;
%load/v 8, v00E8B000_0, 16;
%load/v 8, v00F6B000_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A3C8_0, 0, 8;
%assign/v0 v00F6A3C8_0, 0, 8;
%jmp T_23.12;
T_23.8 ;
%load/v 8, v00E8B000_0, 8; Only need 8 of 16 bits
%load/v 8, v00F6B000_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00E8A160_0, 0, 8;
%assign/v0 v00F6A160_0, 0, 8;
%jmp T_23.12;
T_23.9 ;
%load/v 8, v00E8B000_0, 8; Only need 8 of 16 bits
%load/v 8, v00F6B000_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00E8A1B8_0, 0, 8;
%assign/v0 v00F6A1B8_0, 0, 8;
%jmp T_23.12;
T_23.10 ;
%load/v 8, v00E8B000_0, 8; Only need 8 of 16 bits
%load/v 8, v00F6B000_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 1, 0;
%assign/v0 v00E8A630_0, 0, 8;
%assign/v0 v00F6A630_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00E8B160_0, 0, 9;
%assign/v0 v00F6B160_0, 0, 9;
%ix/load 0, 1, 0;
%assign/v0 v00E8B420_0, 0, 10;
%assign/v0 v00F6B420_0, 0, 10;
%ix/load 0, 1, 0;
%assign/v0 v00E8AC90_0, 0, 11;
%assign/v0 v00F6AC90_0, 0, 11;
%ix/load 0, 1, 0;
%assign/v0 v00E8AB88_0, 0, 12;
%assign/v0 v00F6AB88_0, 0, 12;
%ix/load 0, 1, 0;
%assign/v0 v00E8AA80_0, 0, 13;
%assign/v0 v00F6AA80_0, 0, 13;
%ix/load 0, 1, 0;
%assign/v0 v00E8AA28_0, 0, 14;
%assign/v0 v00F6AA28_0, 0, 14;
%ix/load 0, 1, 0;
%assign/v0 v00E8A978_0, 0, 15;
%assign/v0 v00F6A978_0, 0, 15;
%jmp T_23.12;
T_23.11 ;
%load/v 8, v00E8B000_0, 8; Only need 8 of 16 bits
%load/v 8, v00F6B000_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00E8A2C0_0, 0, 8;
%assign/v0 v00F6A2C0_0, 0, 8;
%jmp T_23.12;
T_23.12 ;
T_23.0 ;
%load/v 8, v00E8B3C8_0, 1;
%load/v 9, v00E8B1B8_0, 1;
%load/v 8, v00F6B3C8_0, 1;
%load/v 9, v00F6B1B8_0, 1;
%or 8, 9, 1;
%load/v 9, v00E8B318_0, 1;
%load/v 9, v00F6B318_0, 1;
%or 8, 9, 1;
%jmp/0xz T_23.13, 8;
%load/v 8, v00E8B370_0, 4;
%load/v 8, v00F6B370_0, 4;
%cmpi/u 8, 0, 4;
%jmp/1 T_23.15, 6;
%cmpi/u 8, 1, 4;
2851,83 → 2851,83
%jmp/1 T_23.24, 6;
%jmp T_23.25;
T_23.15 ;
%load/v 8, v00E8ABE0_0, 16;
%load/v 8, v00F6ABE0_0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00E8A1B8_0, 0, 8;
%assign/v0 v00F6A1B8_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00E8A160_0, 0, 16;
%assign/v0 v00F6A160_0, 0, 16;
%jmp T_23.25;
T_23.16 ;
%load/v 8, v00E8ABE0_0, 16;
%load/v 8, v00F6ABE0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A318_0, 0, 8;
%assign/v0 v00F6A318_0, 0, 8;
%jmp T_23.25;
T_23.17 ;
%load/v 8, v00E8ABE0_0, 16;
%load/v 8, v00F6ABE0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A370_0, 0, 8;
%assign/v0 v00F6A370_0, 0, 8;
%jmp T_23.25;
T_23.18 ;
%load/v 8, v00E8ABE0_0, 16;
%load/v 8, v00F6ABE0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A478_0, 0, 8;
%assign/v0 v00F6A478_0, 0, 8;
%jmp T_23.25;
T_23.19 ;
%load/v 8, v00E8ABE0_0, 16;
%load/v 8, v00F6ABE0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A420_0, 0, 8;
%assign/v0 v00F6A420_0, 0, 8;
%jmp T_23.25;
T_23.20 ;
%load/v 8, v00E8ABE0_0, 16;
%load/v 8, v00F6ABE0_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A3C8_0, 0, 8;
%assign/v0 v00F6A3C8_0, 0, 8;
%jmp T_23.25;
T_23.21 ;
%load/v 8, v00E8ABE0_0, 8; Only need 8 of 16 bits
%load/v 8, v00F6ABE0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00E8A160_0, 0, 8;
%assign/v0 v00F6A160_0, 0, 8;
%jmp T_23.25;
T_23.22 ;
%load/v 8, v00E8ABE0_0, 8; Only need 8 of 16 bits
%load/v 8, v00F6ABE0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00E8A1B8_0, 0, 8;
%assign/v0 v00F6A1B8_0, 0, 8;
%jmp T_23.25;
T_23.23 ;
%load/v 8, v00E8ABE0_0, 8; Only need 8 of 16 bits
%load/v 8, v00F6ABE0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 1, 0;
%assign/v0 v00E8A630_0, 0, 8;
%assign/v0 v00F6A630_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00E8B160_0, 0, 9;
%assign/v0 v00F6B160_0, 0, 9;
%ix/load 0, 1, 0;
%assign/v0 v00E8B420_0, 0, 10;
%assign/v0 v00F6B420_0, 0, 10;
%ix/load 0, 1, 0;
%assign/v0 v00E8AC90_0, 0, 11;
%assign/v0 v00F6AC90_0, 0, 11;
%ix/load 0, 1, 0;
%assign/v0 v00E8AB88_0, 0, 12;
%assign/v0 v00F6AB88_0, 0, 12;
%ix/load 0, 1, 0;
%assign/v0 v00E8AA80_0, 0, 13;
%assign/v0 v00F6AA80_0, 0, 13;
%ix/load 0, 1, 0;
%assign/v0 v00E8AA28_0, 0, 14;
%assign/v0 v00F6AA28_0, 0, 14;
%ix/load 0, 1, 0;
%assign/v0 v00E8A978_0, 0, 15;
%assign/v0 v00F6A978_0, 0, 15;
%jmp T_23.25;
T_23.24 ;
%load/v 8, v00E8ABE0_0, 8; Only need 8 of 16 bits
%load/v 8, v00F6ABE0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00E8A2C0_0, 0, 8;
%assign/v0 v00F6A2C0_0, 0, 8;
%jmp T_23.25;
T_23.25 ;
T_23.13 ;
%load/v 8, v00E8B2C0_0, 1;
%load/v 8, v00F6B2C0_0, 1;
%jmp/0xz T_23.26, 8;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_23.28, 4;
%load/x1p 8, v00E8A920_0, 2;
%load/x1p 8, v00F6A920_0, 2;
%jmp T_23.29;
T_23.28 ;
%mov 8, 2, 2;
2943,126 → 2943,126
%jmp/1 T_23.33, 6;
%jmp T_23.34;
T_23.30 ;
%load/v 8, v00E8A840_0, 16;
%load/v 8, v00F6A840_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A318_0, 0, 8;
%assign/v0 v00F6A318_0, 0, 8;
%jmp T_23.34;
T_23.31 ;
%load/v 8, v00E8A840_0, 16;
%load/v 8, v00F6A840_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A370_0, 0, 8;
%assign/v0 v00F6A370_0, 0, 8;
%jmp T_23.34;
T_23.32 ;
%load/v 8, v00E8A840_0, 16;
%load/v 8, v00F6A840_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A478_0, 0, 8;
%assign/v0 v00F6A478_0, 0, 8;
%jmp T_23.34;
T_23.33 ;
%load/v 8, v00E8A840_0, 16;
%load/v 8, v00F6A840_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A420_0, 0, 8;
%assign/v0 v00F6A420_0, 0, 8;
%jmp T_23.34;
T_23.34 ;
T_23.26 ;
%load/v 8, v00E8B210_0, 1;
%load/v 8, v00F6B210_0, 1;
%jmp/0xz T_23.35, 8;
%load/v 8, v00E8A210_0, 8;
%load/v 8, v00F6A210_0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00E8A630_0, 0, 8;
%assign/v0 v00F6A630_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00E8B160_0, 0, 9;
%assign/v0 v00F6B160_0, 0, 9;
%ix/load 0, 1, 0;
%assign/v0 v00E8B420_0, 0, 10;
%assign/v0 v00F6B420_0, 0, 10;
%ix/load 0, 1, 0;
%assign/v0 v00E8AC90_0, 0, 11;
%assign/v0 v00F6AC90_0, 0, 11;
%ix/load 0, 1, 0;
%assign/v0 v00E8AB88_0, 0, 12;
%assign/v0 v00F6AB88_0, 0, 12;
%ix/load 0, 1, 0;
%assign/v0 v00E8AA80_0, 0, 13;
%assign/v0 v00F6AA80_0, 0, 13;
%ix/load 0, 1, 0;
%assign/v0 v00E8AA28_0, 0, 14;
%assign/v0 v00F6AA28_0, 0, 14;
%ix/load 0, 1, 0;
%assign/v0 v00E8A978_0, 0, 15;
%assign/v0 v00F6A978_0, 0, 15;
T_23.35 ;
%load/v 8, v00E8B0B0_0, 1;
%load/v 9, v00E8A688_0, 1;
%load/v 8, v00F6B0B0_0, 1;
%load/v 9, v00F6A688_0, 1;
%or 8, 9, 1;
%jmp/0xz T_23.37, 8;
%load/v 8, v00E8B0B0_0, 1;
%load/v 8, v00F6B0B0_0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00E8A978_0, 0, 8;
%assign/v0 v00F6A978_0, 0, 8;
T_23.37 ;
%load/v 8, v00E8B268_0, 1;
%load/v 8, v00F6B268_0, 1;
%jmp/0xz T_23.39, 8;
%load/v 8, v00E8AC38_0, 16;
%load/v 8, v00F6AC38_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A3C8_0, 0, 8;
%assign/v0 v00F6A3C8_0, 0, 8;
T_23.39 ;
%load/v 8, v00E8AB30_0, 1;
%load/v 8, v00F6AB30_0, 1;
%jmp/0xz T_23.41, 8;
%load/v 8, v00E8B108_0, 1;
%load/v 8, v00F6B108_0, 1;
%jmp/0xz T_23.43, 8;
%ix/load 0, 1, 0;
%load/vp0 8, v00E8A420_0, 16;
%load/vp0 8, v00F6A420_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A420_0, 0, 8;
%assign/v0 v00F6A420_0, 0, 8;
%jmp T_23.44;
T_23.43 ;
%ix/load 0, 1, 0;
%load/vp0 8, v00E8A478_0, 16;
%load/vp0 8, v00F6A478_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A478_0, 0, 8;
%assign/v0 v00F6A478_0, 0, 8;
T_23.44 ;
T_23.41 ;
%load/v 8, v00E8A790_0, 1;
%load/v 8, v00F6A790_0, 1;
%jmp/0xz T_23.45, 8;
%load/v 8, v00E8B108_0, 1;
%load/v 8, v00F6B108_0, 1;
%jmp/0xz T_23.47, 8;
%load/v 8, v00E8A420_0, 16;
%load/v 8, v00F6A420_0, 16;
%subi 8, 1, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A420_0, 0, 8;
%assign/v0 v00F6A420_0, 0, 8;
%jmp T_23.48;
T_23.47 ;
%load/v 8, v00E8A478_0, 16;
%load/v 8, v00F6A478_0, 16;
%subi 8, 1, 16;
%ix/load 0, 16, 0;
%assign/v0 v00E8A478_0, 0, 8;
%assign/v0 v00F6A478_0, 0, 8;
T_23.48 ;
T_23.45 ;
%jmp T_23;
.thread T_23;
.scope S_00832328;
.scope S_00911CA0;
T_24 ;
%movi 8, 65534, 16;
%set/v v00E8A3C8_0, 8, 16;
%set/v v00E8A2C0_0, 0, 8;
%set/v v00E8A318_0, 0, 16;
%set/v v00E8A630_0, 0, 1;
%set/v v00E8B160_0, 0, 1;
%set/v v00E8B420_0, 0, 1;
%set/v v00E8AC90_0, 0, 1;
%set/v v00E8AB88_0, 0, 1;
%set/v v00E8AA80_0, 0, 1;
%set/v v00E8AA28_0, 0, 1;
%set/v v00E8A978_0, 0, 1;
%set/v v00F6A3C8_0, 8, 16;
%set/v v00F6A2C0_0, 0, 8;
%set/v v00F6A318_0, 0, 16;
%set/v v00F6A630_0, 0, 1;
%set/v v00F6B160_0, 0, 1;
%set/v v00F6B420_0, 0, 1;
%set/v v00F6AC90_0, 0, 1;
%set/v v00F6AB88_0, 0, 1;
%set/v v00F6AA80_0, 0, 1;
%set/v v00F6AA28_0, 0, 1;
%set/v v00F6A978_0, 0, 1;
%movi 8, 42330, 16;
%set/v v00E8A370_0, 8, 16;
%set/v v00F6A370_0, 8, 16;
%movi 8, 3840, 16;
%set/v v00E8A420_0, 8, 16;
%set/v v00F6A420_0, 8, 16;
%movi 8, 3584, 16;
%set/v v00E8A478_0, 8, 16;
%set/v v00F6A478_0, 8, 16;
%end;
.thread T_24;
.scope S_00831C40;
.scope S_009115B8;
T_25 ;
%wait E_008225A0;
%set/v v00E89920_0, 1, 4;
%set/v v00E89A28_0, 1, 4;
%set/v v00E896E0_0, 1, 4;
%load/v 8, v00E89840_0, 1;
%wait E_00900D38;
%set/v v00F69920_0, 1, 4;
%set/v v00F69A28_0, 1, 4;
%set/v v00F696E0_0, 1, 4;
%load/v 8, v00F69840_0, 1;
%jmp/0xz T_25.0, 8;
%load/v 8, v00E89B30_0, 8;
%load/v 8, v00F69B30_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.2, 4;
3121,79 → 3121,79
%jmp/1 T_25.20, 4;
%jmp T_25.21;
T_25.2 ;
%set/v v00E89920_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%jmp T_25.21;
T_25.3 ;
%set/v v00E89920_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%jmp T_25.21;
T_25.4 ;
%set/v v00E89920_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%jmp T_25.21;
T_25.5 ;
%set/v v00E89920_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%jmp T_25.21;
T_25.6 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.7 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.8 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.9 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.10 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.11 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.12 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.13 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.14 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.15 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.16 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.17 ;
%movi 8, 2, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.18 ;
%movi 8, 4, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.19 ;
%movi 8, 4, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.20 ;
%movi 8, 4, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.21;
T_25.21 ;
%load/v 8, v00E89B30_0, 8;
%load/v 8, v00F69B30_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.22, 4;
3254,82 → 3254,82
%jmp T_25.41;
T_25.22 ;
%movi 8, 7, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.23 ;
%movi 8, 7, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.24 ;
%movi 8, 7, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.25 ;
%movi 8, 7, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.26 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.27 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.28 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.29 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.30 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.31 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.32 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.33 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.34 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.35 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.36 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.37 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.38 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.39 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.40 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.41;
T_25.41 ;
%load/v 8, v00E89B30_0, 8;
%load/v 8, v00F69B30_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.42, 4;
3414,65 → 3414,65
%jmp T_25.64;
T_25.50 ;
%movi 8, 2, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.51 ;
%movi 8, 2, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.52 ;
%movi 8, 2, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.53 ;
%movi 8, 2, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.54 ;
%movi 8, 4, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.55 ;
%movi 8, 4, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.56 ;
%movi 8, 4, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.57 ;
%movi 8, 4, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.58 ;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.59 ;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.60 ;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.61 ;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.62 ;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.63 ;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.64;
T_25.64 ;
T_25.0 ;
%load/v 8, v00E89898_0, 1;
%load/v 8, v00F69898_0, 1;
%jmp/0xz T_25.65, 8;
%load/v 8, v00E89B30_0, 8;
%load/v 8, v00F69B30_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.67, 4;
3500,38 → 3500,38
%jmp T_25.75;
T_25.67 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.75;
T_25.68 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.75;
T_25.69 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.75;
T_25.70 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.75;
T_25.71 ;
%movi 8, 4, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.75;
T_25.72 ;
%movi 8, 4, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.75;
T_25.73 ;
%movi 8, 4, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.75;
T_25.74 ;
%movi 8, 4, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.75;
T_25.75 ;
%load/v 8, v00E89B30_0, 8;
%load/v 8, v00F69B30_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.76, 4;
3559,38 → 3559,38
%jmp T_25.84;
T_25.76 ;
%movi 8, 7, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.84;
T_25.77 ;
%movi 8, 7, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.84;
T_25.78 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.84;
T_25.79 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.84;
T_25.80 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.84;
T_25.81 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.84;
T_25.82 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.84;
T_25.83 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.84;
T_25.84 ;
%load/v 8, v00E89B30_0, 8;
%load/v 8, v00F69B30_0, 8;
%movi 16, 131, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.85, 4;
3634,7 → 3634,7
%jmp T_25.93;
T_25.93 ;
T_25.65 ;
%load/v 8, v00E897E8_0, 8;
%load/v 8, v00F697E8_0, 8;
%movi 16, 26, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_25.94, 4;
3726,109 → 3726,109
%jmp T_25.119;
T_25.94 ;
%movi 8, 10, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 13, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%movi 8, 10, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.95 ;
%movi 8, 10, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 13, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%movi 8, 10, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.96 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 8, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.97 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 8, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.98 ;
%load/v 8, v00E89B30_0, 4; Only need 4 of 8 bits
%load/v 8, v00F69B30_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_25.120, 4;
%load/x1p 8, v00E89B30_0, 4;
%load/x1p 8, v00F69B30_0, 4;
%jmp T_25.121;
T_25.120 ;
%mov 8, 2, 4;
T_25.121 ;
; Save base=8 wid=4 in lookaside.
%set/v v00E89920_0, 8, 4;
%load/v 8, v00E89B30_0, 4; Only need 4 of 8 bits
%set/v v00F69920_0, 8, 4;
%load/v 8, v00F69B30_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.119;
T_25.99 ;
%load/v 8, v00E89B30_0, 4; Only need 4 of 8 bits
%load/v 8, v00F69B30_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_25.122, 4;
%load/x1p 8, v00E89B30_0, 4;
%load/x1p 8, v00F69B30_0, 4;
%jmp T_25.123;
T_25.122 ;
%mov 8, 2, 4;
T_25.123 ;
; Save base=8 wid=4 in lookaside.
%set/v v00E89920_0, 8, 4;
%load/v 8, v00E89B30_0, 4; Only need 4 of 8 bits
%set/v v00F69920_0, 8, 4;
%load/v 8, v00F69B30_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%set/v v00E89A28_0, 8, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.119;
T_25.100 ;
%movi 8, 1, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.101 ;
%movi 8, 2, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.102 ;
%movi 8, 4, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.103 ;
%movi 8, 3, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.104 ;
%movi 8, 5, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.105 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 9, 4;
%set/v v00E89A28_0, 8, 4;
%set/v v00E896E0_0, 0, 4;
%set/v v00F69A28_0, 8, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.119;
T_25.106 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 8, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.107 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 9, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.119;
T_25.108 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 14, 4;
%jmp/1 T_25.124, 6;
3835,20 → 3835,20
%cmpi/u 8, 15, 4;
%jmp/1 T_25.125, 6;
%movi 8, 12, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.127;
T_25.124 ;
%jmp T_25.127;
T_25.125 ;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.127;
T_25.127 ;
%jmp T_25.119;
T_25.109 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 14, 4;
%jmp/1 T_25.128, 6;
3855,20 → 3855,20
%cmpi/u 8, 15, 4;
%jmp/1 T_25.129, 6;
%movi 8, 12, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.131;
T_25.128 ;
%jmp T_25.131;
T_25.129 ;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.131;
T_25.131 ;
%jmp T_25.119;
T_25.110 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 14, 4;
%jmp/1 T_25.132, 6;
3875,20 → 3875,20
%cmpi/u 8, 15, 4;
%jmp/1 T_25.133, 6;
%movi 8, 12, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.135;
T_25.132 ;
%jmp T_25.135;
T_25.133 ;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.135;
T_25.135 ;
%jmp T_25.119;
T_25.111 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.136, 6;
3907,50 → 3907,50
%cmpi/u 8, 15, 4;
%jmp/1 T_25.143, 6;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 8, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.145;
T_25.136 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.145;
T_25.137 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.145;
T_25.138 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.145;
T_25.139 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.145;
T_25.140 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.145;
T_25.141 ;
%jmp T_25.145;
T_25.142 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 1, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.145;
T_25.143 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.145;
T_25.145 ;
%jmp T_25.119;
T_25.112 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.146, 6;
3969,50 → 3969,50
%cmpi/u 8, 15, 4;
%jmp/1 T_25.153, 6;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 8, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.155;
T_25.146 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.155;
T_25.147 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.155;
T_25.148 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.155;
T_25.149 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.155;
T_25.150 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.155;
T_25.151 ;
%jmp T_25.155;
T_25.152 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 1, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.155;
T_25.153 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.155;
T_25.155 ;
%jmp T_25.119;
T_25.113 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.156, 6;
4031,50 → 4031,50
%cmpi/u 8, 15, 4;
%jmp/1 T_25.163, 6;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 8, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.165;
T_25.156 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.165;
T_25.157 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.165;
T_25.158 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.165;
T_25.159 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.165;
T_25.160 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.165;
T_25.161 ;
%jmp T_25.165;
T_25.162 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 1, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.165;
T_25.163 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.165;
T_25.165 ;
%jmp T_25.119;
T_25.114 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.166, 6;
4093,50 → 4093,50
%cmpi/u 8, 15, 4;
%jmp/1 T_25.173, 6;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 8, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.175;
T_25.166 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.175;
T_25.167 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.175;
T_25.168 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.175;
T_25.169 ;
%movi 8, 8, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.175;
T_25.170 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.175;
T_25.171 ;
%jmp T_25.175;
T_25.172 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 1, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.175;
T_25.173 ;
%movi 8, 1, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.175;
T_25.175 ;
%jmp T_25.119;
T_25.115 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.176, 6;
4144,9 → 4144,9
%jmp/1 T_25.177, 6;
%cmpi/u 8, 3, 4;
%jmp/1 T_25.178, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_25.179, 6;
%cmpi/u 8, 12, 4;
%jmp/1 T_25.179, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_25.180, 6;
%cmpi/u 8, 13, 4;
%jmp/1 T_25.181, 6;
4155,51 → 4155,51
%cmpi/u 8, 15, 4;
%jmp/1 T_25.183, 6;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 9, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.185;
T_25.176 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.185;
T_25.177 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.185;
T_25.178 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.185;
T_25.179 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%jmp T_25.185;
T_25.180 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.185;
T_25.180 ;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.185;
T_25.181 ;
%set/v v00E89920_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%movi 8, 6, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.185;
T_25.182 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%movi 8, 3, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.185;
T_25.183 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.185;
T_25.185 ;
%jmp T_25.119;
T_25.116 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.186, 6;
4207,9 → 4207,9
%jmp/1 T_25.187, 6;
%cmpi/u 8, 3, 4;
%jmp/1 T_25.188, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_25.189, 6;
%cmpi/u 8, 12, 4;
%jmp/1 T_25.189, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_25.190, 6;
%cmpi/u 8, 13, 4;
%jmp/1 T_25.191, 6;
4218,51 → 4218,51
%cmpi/u 8, 15, 4;
%jmp/1 T_25.193, 6;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 9, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.195;
T_25.186 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.195;
T_25.187 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.195;
T_25.188 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.195;
T_25.189 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%jmp T_25.195;
T_25.190 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.195;
T_25.190 ;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.195;
T_25.191 ;
%set/v v00E89920_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%movi 8, 6, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.195;
T_25.192 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%movi 8, 3, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.195;
T_25.193 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.195;
T_25.195 ;
%jmp T_25.119;
T_25.117 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.196, 6;
4270,9 → 4270,9
%jmp/1 T_25.197, 6;
%cmpi/u 8, 3, 4;
%jmp/1 T_25.198, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_25.199, 6;
%cmpi/u 8, 12, 4;
%jmp/1 T_25.199, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_25.200, 6;
%cmpi/u 8, 13, 4;
%jmp/1 T_25.201, 6;
4281,51 → 4281,51
%cmpi/u 8, 15, 4;
%jmp/1 T_25.203, 6;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 9, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.205;
T_25.196 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.205;
T_25.197 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.205;
T_25.198 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.205;
T_25.199 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%jmp T_25.205;
T_25.200 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.205;
T_25.200 ;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.205;
T_25.201 ;
%set/v v00E89920_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%movi 8, 6, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.205;
T_25.202 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%movi 8, 3, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.205;
T_25.203 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.205;
T_25.205 ;
%jmp T_25.119;
T_25.118 ;
%load/v 8, v00E897E8_0, 4; Only need 4 of 8 bits
%load/v 8, v00F697E8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 1, 4;
%jmp/1 T_25.206, 6;
4333,9 → 4333,9
%jmp/1 T_25.207, 6;
%cmpi/u 8, 3, 4;
%jmp/1 T_25.208, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_25.209, 6;
%cmpi/u 8, 12, 4;
%jmp/1 T_25.209, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_25.210, 6;
%cmpi/u 8, 13, 4;
%jmp/1 T_25.211, 6;
4344,51 → 4344,51
%cmpi/u 8, 15, 4;
%jmp/1 T_25.213, 6;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 9, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.215;
T_25.206 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.215;
T_25.207 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%jmp T_25.215;
T_25.208 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.215;
T_25.209 ;
%set/v v00E89920_0, 0, 4;
%set/v v00E896E0_0, 0, 4;
%jmp T_25.215;
T_25.210 ;
%movi 8, 9, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 12, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.215;
T_25.210 ;
%set/v v00F69920_0, 0, 4;
%set/v v00F696E0_0, 0, 4;
%jmp T_25.215;
T_25.211 ;
%set/v v00E89920_0, 0, 4;
%set/v v00F69920_0, 0, 4;
%movi 8, 6, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.215;
T_25.212 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%movi 8, 3, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.215;
T_25.213 ;
%movi 8, 3, 4;
%set/v v00E89920_0, 8, 4;
%set/v v00F69920_0, 8, 4;
%movi 8, 6, 4;
%set/v v00E896E0_0, 8, 4;
%set/v v00F696E0_0, 8, 4;
%jmp T_25.215;
T_25.215 ;
%jmp T_25.119;
T_25.119 ;
%load/v 8, v00E897E8_0, 8;
%load/v 8, v00F697E8_0, 8;
%mov 16, 2, 1;
%movi 17, 0, 5;
%mov 22, 2, 1;
4400,31 → 4400,31
%movi 31, 1, 1;
%cmp/x 8, 24, 8;
%jmp/1 T_25.217, 4;
%movi 32, 3, 6;
%mov 32, 2, 1;
%movi 33, 2, 5;
%mov 38, 2, 1;
%movi 39, 1, 1;
%cmp/x 8, 32, 8;
%jmp/1 T_25.218, 4;
%movi 40, 0, 1;
%mov 41, 2, 1;
%movi 42, 3, 4;
%movi 40, 6, 6;
%mov 46, 2, 1;
%movi 47, 1, 1;
%cmp/x 8, 40, 8;
%jmp/1 T_25.219, 4;
%mov 48, 2, 1;
%movi 49, 2, 5;
%mov 48, 2, 2;
%movi 50, 2, 4;
%mov 54, 2, 1;
%movi 55, 1, 1;
%cmp/x 8, 48, 8;
%jmp/1 T_25.220, 4;
%movi 56, 6, 6;
%movi 56, 3, 6;
%mov 62, 2, 1;
%movi 63, 1, 1;
%cmp/x 8, 56, 8;
%jmp/1 T_25.221, 4;
%mov 64, 2, 2;
%movi 66, 2, 4;
%movi 64, 0, 1;
%mov 65, 2, 1;
%movi 66, 3, 4;
%mov 70, 2, 1;
%movi 71, 1, 1;
%cmp/x 8, 64, 8;
4440,37 → 4440,40
%movi 87, 1, 1;
%cmp/x 8, 80, 8;
%jmp/1 T_25.224, 4;
%movi 88, 19, 6;
%mov 88, 2, 1;
%movi 89, 10, 5;
%mov 94, 2, 1;
%movi 95, 1, 1;
%cmp/x 8, 88, 8;
%jmp/1 T_25.225, 4;
%movi 96, 0, 1;
%mov 97, 2, 1;
%movi 98, 7, 4;
%movi 96, 22, 6;
%mov 102, 2, 1;
%movi 103, 1, 1;
%cmp/x 8, 96, 8;
%jmp/1 T_25.226, 4;
%mov 104, 2, 1;
%movi 105, 10, 5;
%mov 104, 2, 2;
%movi 106, 6, 4;
%mov 110, 2, 1;
%movi 111, 1, 1;
%cmp/x 8, 104, 8;
%jmp/1 T_25.227, 4;
%movi 112, 22, 6;
%mov 112, 2, 1;
%movi 113, 0, 3;
%mov 116, 2, 1;
%movi 117, 1, 1;
%mov 118, 2, 1;
%movi 119, 1, 1;
%cmp/x 8, 112, 8;
%jmp/1 T_25.228, 4;
%mov 120, 2, 2;
%movi 122, 6, 4;
%movi 120, 2, 4;
%mov 124, 2, 1;
%movi 125, 1, 1;
%mov 126, 2, 1;
%movi 127, 1, 1;
%cmp/x 8, 120, 8;
%jmp/1 T_25.229, 4;
%mov 128, 2, 1;
%movi 129, 0, 3;
%movi 129, 2, 3;
%mov 132, 2, 1;
%movi 133, 1, 1;
%mov 134, 2, 1;
4477,7 → 4480,7
%movi 135, 1, 1;
%cmp/x 8, 128, 8;
%jmp/1 T_25.230, 4;
%movi 136, 2, 4;
%movi 136, 6, 4;
%mov 140, 2, 1;
%movi 141, 1, 1;
%mov 142, 2, 1;
4484,7 → 4487,8
%movi 143, 1, 1;
%cmp/x 8, 136, 8;
%jmp/1 T_25.231, 4;
%movi 144, 3, 4;
%mov 144, 2, 2;
%movi 146, 2, 2;
%mov 148, 2, 1;
%movi 149, 1, 1;
%mov 150, 2, 1;
4491,160 → 4495,258
%movi 151, 1, 1;
%cmp/x 8, 144, 8;
%jmp/1 T_25.232, 4;
%movi 152, 0, 1;
%mov 153, 2, 1;
%movi 154, 3, 2;
%mov 156, 2, 1;
%movi 157, 1, 1;
%mov 158, 2, 1;
%movi 159, 1, 1;
%movi 152, 147, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.233, 4;
%mov 160, 2, 1;
%movi 161, 2, 3;
%mov 164, 2, 1;
%movi 165, 1, 1;
%mov 166, 2, 1;
%movi 167, 1, 1;
%cmp/x 8, 160, 8;
%movi 152, 163, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.234, 4;
%movi 168, 6, 4;
%mov 172, 2, 1;
%movi 173, 1, 1;
%mov 174, 2, 1;
%movi 175, 1, 1;
%cmp/x 8, 168, 8;
%movi 152, 179, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.235, 4;
%mov 176, 2, 2;
%movi 178, 2, 2;
%mov 180, 2, 1;
%movi 181, 1, 1;
%mov 182, 2, 1;
%movi 183, 1, 1;
%cmp/x 8, 176, 8;
%movi 152, 211, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.236, 4;
%jmp T_25.237;
%movi 152, 227, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.237, 4;
%movi 152, 243, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.238, 4;
%movi 152, 156, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.239, 4;
%movi 152, 172, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.240, 4;
%movi 152, 188, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.241, 4;
%movi 152, 220, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.242, 4;
%movi 152, 236, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.243, 4;
%movi 152, 252, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.244, 4;
%movi 152, 158, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.245, 4;
%movi 152, 174, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.246, 4;
%movi 152, 190, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.247, 4;
%movi 152, 222, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.248, 4;
%movi 152, 238, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.249, 4;
%movi 152, 254, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.250, 4;
%movi 152, 223, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.251, 4;
%movi 152, 239, 8;
%cmp/x 8, 152, 8;
%jmp/1 T_25.252, 4;
%cmp/x 8, 1, 8;
%jmp/1 T_25.253, 4;
%jmp T_25.254;
T_25.216 ;
%movi 8, 13, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.217 ;
%movi 8, 13, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.218 ;
%movi 8, 7, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 13, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.219 ;
%movi 8, 7, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 13, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.220 ;
%movi 8, 13, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.221 ;
%movi 8, 13, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 7, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.222 ;
%movi 8, 13, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 7, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.223 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.224 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.225 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 12, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.226 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 12, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.227 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.228 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.229 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.230 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.231 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.232 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 12, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.233 ;
%movi 8, 6, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.234 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.235 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.236 ;
%movi 8, 12, 4;
%set/v v00E89A28_0, 8, 4;
%jmp T_25.237;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.237 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.238 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.239 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.240 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.241 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.242 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.243 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.244 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.245 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.246 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.247 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.248 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.249 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.250 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.251 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.252 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.253 ;
%movi 8, 6, 4;
%set/v v00F69A28_0, 8, 4;
%jmp T_25.254;
T_25.254 ;
%jmp T_25;
.thread T_25, $push;
.scope S_00831C40;
.scope S_009115B8;
T_26 ;
%wait E_00821CE0;
%load/v 8, v00E89A28_0, 4;
%wait E_00900478;
%load/v 8, v00F69A28_0, 4;
%ix/load 0, 4, 0;
%assign/v0 v00E89A80_0, 0, 8;
%load/v 8, v00E89920_0, 4;
%assign/v0 v00F69A80_0, 0, 8;
%load/v 8, v00F69920_0, 4;
%ix/load 0, 4, 0;
%assign/v0 v00E899D0_0, 0, 8;
%load/v 8, v00E896E0_0, 4;
%assign/v0 v00F699D0_0, 0, 8;
%load/v 8, v00F696E0_0, 4;
%ix/load 0, 4, 0;
%assign/v0 v00E89738_0, 0, 8;
%assign/v0 v00F69738_0, 0, 8;
%jmp T_26;
.thread T_26;
.scope S_00832CB8;
.scope S_00912630;
T_27 ;
%wait E_00821CC0;
%set/v v00E89268_0, 1, 1;
%set/v v00E88B30_0, 0, 3;
%set/v v00E891B8_0, 0, 1;
%set/v v00E88F50_0, 0, 1;
%set/v v00E88BE0_0, 0, 1;
%set/v v00E88FA8_0, 0, 1;
%set/v v00E88EA0_0, 0, 1;
%set/v v00E88E48_0, 0, 1;
%set/v v00E88B88_0, 0, 1;
%set/v v00E88D40_0, 0, 1;
%set/v v00E88EF8_0, 0, 1;
%set/v v00E88DF0_0, 0, 1;
%set/v v00E88D98_0, 0, 1;
%set/v v00E88CE8_0, 0, 1;
%set/v v00E88C38_0, 0, 1;
%set/v v00E88C90_0, 0, 1;
%load/v 8, v00E89000_0, 8;
%wait E_00900458;
%set/v v00F69268_0, 1, 1;
%set/v v00F68B30_0, 0, 3;
%set/v v00F691B8_0, 0, 1;
%set/v v00F68F50_0, 0, 1;
%set/v v00F68BE0_0, 0, 1;
%set/v v00F68FA8_0, 0, 1;
%set/v v00F68EA0_0, 0, 1;
%set/v v00F68E48_0, 0, 1;
%set/v v00F68B88_0, 0, 1;
%set/v v00F68D40_0, 0, 1;
%set/v v00F68EF8_0, 0, 1;
%set/v v00F68DF0_0, 0, 1;
%set/v v00F68D98_0, 0, 1;
%set/v v00F68CE8_0, 0, 1;
%set/v v00F68C38_0, 0, 1;
%set/v v00F68C90_0, 0, 1;
%load/v 8, v00F69000_0, 8;
%mov 16, 2, 4;
%movi 20, 0, 4;
%cmp/x 8, 16, 8;
4794,147 → 4896,147
%jmp T_27.44;
T_27.0 ;
%movi 8, 3, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.1 ;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.2 ;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.3 ;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.4 ;
%set/v v00E88B30_0, 0, 3;
%set/v v00F68B30_0, 0, 3;
%jmp T_27.44;
T_27.5 ;
%set/v v00E88B30_0, 0, 3;
%set/v v00F68B30_0, 0, 3;
%jmp T_27.44;
T_27.6 ;
%set/v v00E88B30_0, 0, 3;
%set/v v00F68B30_0, 0, 3;
%jmp T_27.44;
T_27.7 ;
%set/v v00E88B30_0, 0, 3;
%set/v v00F68B30_0, 0, 3;
%jmp T_27.44;
T_27.8 ;
%set/v v00E88B30_0, 1, 3;
%set/v v00F68B30_0, 1, 3;
%jmp T_27.44;
T_27.9 ;
%set/v v00E88B30_0, 1, 3;
%set/v v00E88C90_0, 1, 1;
%set/v v00F68B30_0, 1, 3;
%set/v v00F68C90_0, 1, 1;
%jmp T_27.44;
T_27.10 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.11 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.12 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.13 ;
%set/v v00E88BE0_0, 1, 1;
%set/v v00F68BE0_0, 1, 1;
%jmp T_27.44;
T_27.14 ;
%set/v v00E88FA8_0, 1, 1;
%set/v v00F68FA8_0, 1, 1;
%jmp T_27.44;
T_27.15 ;
%movi 8, 6, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.16 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E88CE8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F68CE8_0, 1, 1;
%jmp T_27.44;
T_27.17 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E88CE8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F68CE8_0, 1, 1;
%jmp T_27.44;
T_27.18 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E88CE8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F68CE8_0, 1, 1;
%jmp T_27.44;
T_27.19 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E88CE8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F68CE8_0, 1, 1;
%jmp T_27.44;
T_27.20 ;
%set/v v00E88DF0_0, 1, 1;
%set/v v00F68DF0_0, 1, 1;
%jmp T_27.44;
T_27.21 ;
%set/v v00E88D98_0, 1, 1;
%set/v v00F68D98_0, 1, 1;
%jmp T_27.44;
T_27.22 ;
%set/v v00E88DF0_0, 1, 1;
%set/v v00E89268_0, 0, 1;
%set/v v00F68DF0_0, 1, 1;
%set/v v00F69268_0, 0, 1;
%jmp T_27.44;
T_27.23 ;
%set/v v00E88D98_0, 1, 1;
%set/v v00E89268_0, 0, 1;
%set/v v00F68D98_0, 1, 1;
%set/v v00F69268_0, 0, 1;
%jmp T_27.44;
T_27.24 ;
%set/v v00E88B30_0, 0, 3;
%set/v v00F68B30_0, 0, 3;
%jmp T_27.44;
T_27.25 ;
%set/v v00E88B30_0, 0, 3;
%set/v v00F68B30_0, 0, 3;
%jmp T_27.44;
T_27.26 ;
%set/v v00E88EA0_0, 1, 1;
%set/v v00F68EA0_0, 1, 1;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.27 ;
%set/v v00E88E48_0, 1, 1;
%set/v v00F68E48_0, 1, 1;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.28 ;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.29 ;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.30 ;
%set/v v00E88D40_0, 1, 1;
%set/v v00F68D40_0, 1, 1;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.31 ;
%set/v v00E88EF8_0, 1, 1;
%set/v v00F68EF8_0, 1, 1;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.32 ;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.33 ;
%movi 8, 2, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.34 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.35 ;
%movi 8, 5, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.36 ;
%load/v 8, v00E89058_0, 4;
%load/v 8, v00F69058_0, 4;
%cmpi/u 8, 3, 4;
%jmp/1 T_27.45, 6;
%cmpi/u 8, 12, 4;
4944,31 → 5046,31
%cmpi/u 8, 13, 4;
%jmp/1 T_27.48, 6;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.50;
T_27.45 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.50;
T_27.46 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.50;
T_27.47 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.50;
T_27.48 ;
%movi 8, 6, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.50;
T_27.50 ;
%jmp T_27.44;
T_27.37 ;
%load/v 8, v00E89058_0, 4;
%load/v 8, v00F69058_0, 4;
%cmpi/u 8, 3, 4;
%jmp/1 T_27.51, 6;
%cmpi/u 8, 12, 4;
4976,51 → 5078,51
%cmpi/u 8, 14, 4;
%jmp/1 T_27.53, 6;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.55;
T_27.51 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.55;
T_27.52 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.55;
T_27.53 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.55;
T_27.55 ;
%jmp T_27.44;
T_27.38 ;
%movi 8, 3, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.39 ;
%movi 8, 3, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.40 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.41 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.42 ;
%movi 8, 5, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.43 ;
%movi 8, 5, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.44;
T_27.44 ;
%load/v 8, v00E89000_0, 8;
%load/v 8, v00F69000_0, 8;
%movi 16, 14, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_27.56, 4;
5037,21 → 5139,21
%jmp/1 T_27.59, 4;
%jmp T_27.60;
T_27.56 ;
%set/v v00E88C38_0, 1, 1;
%set/v v00F68C38_0, 1, 1;
%jmp T_27.60;
T_27.57 ;
%set/v v00E88C38_0, 1, 1;
%set/v v00F68C38_0, 1, 1;
%jmp T_27.60;
T_27.58 ;
%set/v v00E88C38_0, 1, 1;
%set/v v00F68C38_0, 1, 1;
%jmp T_27.60;
T_27.59 ;
%set/v v00E88C90_0, 1, 1;
%set/v v00F68C90_0, 1, 1;
%jmp T_27.60;
T_27.60 ;
%load/v 8, v00E89108_0, 1;
%load/v 8, v00F69108_0, 1;
%jmp/0xz T_27.61, 8;
%load/v 8, v00E89210_0, 8;
%load/v 8, v00F69210_0, 8;
%mov 16, 2, 4;
%movi 20, 2, 4;
%cmp/x 8, 16, 8;
5073,33 → 5175,33
%jmp/1 T_27.68, 4;
%jmp T_27.69;
T_27.63 ;
%set/v v00E88B30_0, 1, 3;
%set/v v00F68B30_0, 1, 3;
%jmp T_27.69;
T_27.64 ;
%set/v v00E88EF8_0, 1, 1;
%set/v v00F68EF8_0, 1, 1;
%jmp T_27.69;
T_27.65 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.69;
T_27.66 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.69;
T_27.67 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.69;
T_27.68 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.69;
T_27.69 ;
%load/v 8, v00E89210_0, 8;
%load/v 8, v00F69210_0, 8;
%mov 16, 2, 4;
%movi 20, 9, 4;
%cmp/x 8, 16, 8;
5127,33 → 5229,33
%jmp T_27.76;
T_27.70 ;
%movi 8, 3, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.76;
T_27.71 ;
%movi 8, 3, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.76;
T_27.72 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.76;
T_27.73 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.76;
T_27.74 ;
%movi 8, 5, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.76;
T_27.75 ;
%movi 8, 5, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.76;
T_27.76 ;
T_27.61 ;
%load/v 8, v00E89160_0, 1;
%load/v 8, v00F69160_0, 1;
%jmp/0xz T_27.77, 8;
%load/v 8, v00E89210_0, 8;
%load/v 8, v00F69210_0, 8;
%movi 16, 63, 8;
%cmp/x 8, 16, 8;
%jmp/1 T_27.79, 4;
5171,30 → 5273,30
%jmp/1 T_27.83, 4;
%jmp T_27.84;
T_27.79 ;
%set/v v00E88EF8_0, 1, 1;
%set/v v00F68EF8_0, 1, 1;
%jmp T_27.84;
T_27.80 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.84;
T_27.81 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.84;
T_27.82 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.84;
T_27.83 ;
%movi 8, 1, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00E891B8_0, 1, 1;
%set/v v00F68B30_0, 8, 3;
%set/v v00F691B8_0, 1, 1;
%jmp T_27.84;
T_27.84 ;
%load/v 8, v00E89210_0, 8;
%load/v 8, v00F69210_0, 8;
%mov 16, 2, 4;
%movi 20, 9, 4;
%cmp/x 8, 16, 8;
5222,40 → 5324,40
%jmp T_27.91;
T_27.85 ;
%movi 8, 3, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.91;
T_27.86 ;
%movi 8, 3, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.91;
T_27.87 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.91;
T_27.88 ;
%movi 8, 4, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.91;
T_27.89 ;
%movi 8, 5, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.91;
T_27.90 ;
%movi 8, 5, 3;
%set/v v00E88B30_0, 8, 3;
%set/v v00F68B30_0, 8, 3;
%jmp T_27.91;
T_27.91 ;
T_27.77 ;
%jmp T_27;
.thread T_27, $push;
.scope S_00832D40;
.scope S_009126B8;
T_28 ;
%wait E_00821D00;
%set/v v00E889D0_0, 0, 1;
%set/v v00E88A80_0, 0, 1;
%set/v v00E88A28_0, 0, 1;
%set/v v00E88AD8_0, 0, 1;
%load/v 8, v00E88920_0, 8;
%wait E_00900498;
%set/v v00F689D0_0, 0, 1;
%set/v v00F68A80_0, 0, 1;
%set/v v00F68A28_0, 0, 1;
%set/v v00F68AD8_0, 0, 1;
%load/v 8, v00F68920_0, 8;
%mov 16, 2, 7;
%movi 23, 0, 1;
%cmp/x 8, 16, 8;
5298,38 → 5400,38
%jmp/1 T_28.7, 4;
%jmp T_28.8;
T_28.0 ;
%set/v v00E889D0_0, 1, 1;
%set/v v00F689D0_0, 1, 1;
%jmp T_28.8;
T_28.1 ;
%set/v v00E889D0_0, 1, 1;
%set/v v00F689D0_0, 1, 1;
%jmp T_28.8;
T_28.2 ;
%set/v v00E88A80_0, 1, 1;
%set/v v00F68A80_0, 1, 1;
%jmp T_28.8;
T_28.3 ;
%set/v v00E88A80_0, 1, 1;
%set/v v00F68A80_0, 1, 1;
%jmp T_28.8;
T_28.4 ;
%set/v v00E88A28_0, 1, 1;
%set/v v00F68A28_0, 1, 1;
%jmp T_28.8;
T_28.5 ;
%set/v v00E88A28_0, 1, 1;
%set/v v00F68A28_0, 1, 1;
%jmp T_28.8;
T_28.6 ;
%set/v v00E88A28_0, 1, 1;
%set/v v00F68A28_0, 1, 1;
%jmp T_28.8;
T_28.7 ;
%set/v v00E88AD8_0, 1, 1;
%set/v v00F68AD8_0, 1, 1;
%jmp T_28.8;
T_28.8 ;
%jmp T_28;
.thread T_28, $push;
.scope S_00832DC8;
.scope S_00912740;
T_29 ;
%wait E_00821B60;
%set/v v00856900_0, 0, 5;
%set/v v00856958_0, 0, 2;
%load/v 8, v00856A08_0, 8;
%wait E_009002F8;
%set/v v00936350_0, 0, 5;
%set/v v009363A8_0, 0, 2;
%load/v 8, v00936458_0, 8;
%movi 16, 0, 4;
%mov 20, 2, 3;
%movi 23, 1, 1;
5529,204 → 5631,204
%jmp T_29.46;
T_29.0 ;
%movi 8, 9, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.1 ;
%movi 8, 9, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.2 ;
%movi 8, 11, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.3 ;
%movi 8, 9, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.4 ;
%movi 8, 8, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.5 ;
%movi 8, 5, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.6 ;
%movi 8, 5, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.7 ;
%movi 8, 4, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.8 ;
%movi 8, 2, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.9 ;
%movi 8, 7, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.10 ;
%movi 8, 10, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.11 ;
%movi 8, 6, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.12 ;
%movi 8, 8, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.13 ;
%movi 8, 9, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.14 ;
%movi 8, 4, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.15 ;
%movi 8, 4, 5;
%set/v v00856900_0, 8, 5;
%movi 8, 2, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.16 ;
%movi 8, 4, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.17 ;
%movi 8, 2, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.18 ;
%movi 8, 21, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.19 ;
%movi 8, 21, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.20 ;
%movi 8, 22, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.21 ;
%movi 8, 22, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.22 ;
%movi 8, 16, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.23 ;
%movi 8, 16, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.24 ;
%movi 8, 18, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.25 ;
%movi 8, 18, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.26 ;
%movi 8, 20, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.27 ;
%movi 8, 20, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.28 ;
%movi 8, 17, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.29 ;
%movi 8, 17, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.30 ;
%movi 8, 19, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.31 ;
%movi 8, 19, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.32 ;
%movi 8, 25, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%movi 8, 1, 2;
%set/v v00856958_0, 8, 2;
%set/v v009363A8_0, 8, 2;
%jmp T_29.46;
T_29.33 ;
%movi 8, 25, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%movi 8, 1, 2;
%set/v v00856958_0, 8, 2;
%set/v v009363A8_0, 8, 2;
%jmp T_29.46;
T_29.34 ;
%movi 8, 24, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%movi 8, 1, 2;
%set/v v00856958_0, 8, 2;
%set/v v009363A8_0, 8, 2;
%jmp T_29.46;
T_29.35 ;
%movi 8, 24, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%movi 8, 1, 2;
%set/v v00856958_0, 8, 2;
%set/v v009363A8_0, 8, 2;
%jmp T_29.46;
T_29.36 ;
%movi 8, 5, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.37 ;
%movi 8, 5, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.38 ;
%movi 8, 4, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%movi 8, 2, 2;
%set/v v00856958_0, 8, 2;
%set/v v009363A8_0, 8, 2;
%jmp T_29.46;
T_29.39 ;
%movi 8, 4, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%movi 8, 2, 2;
%set/v v00856958_0, 8, 2;
%set/v v009363A8_0, 8, 2;
%jmp T_29.46;
T_29.40 ;
%movi 8, 26, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.41 ;
%movi 8, 6, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.42 ;
%movi 8, 5, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.43 ;
%movi 8, 1, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.44 ;
%movi 8, 28, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.45 ;
%movi 8, 27, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.46;
T_29.46 ;
%load/v 8, v00856A60_0, 1;
%load/v 8, v009364B0_0, 1;
%jmp/0xz T_29.47, 8;
%load/v 8, v00856B10_0, 8;
%load/v 8, v00936560_0, 8;
%movi 16, 3, 4;
%mov 20, 2, 2;
%movi 22, 2, 2;
5750,25 → 5852,25
%jmp T_29.53;
T_29.49 ;
%movi 8, 9, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.53;
T_29.50 ;
%movi 8, 9, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.53;
T_29.51 ;
%movi 8, 4, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.53;
T_29.52 ;
%movi 8, 2, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.53;
T_29.53 ;
T_29.47 ;
%load/v 8, v00856AB8_0, 1;
%load/v 8, v00936508_0, 1;
%jmp/0xz T_29.54, 8;
%load/v 8, v00856B10_0, 8;
%load/v 8, v00936560_0, 8;
%movi 16, 3, 4;
%mov 20, 2, 2;
%movi 22, 2, 2;
5792,53 → 5894,53
%jmp T_29.60;
T_29.56 ;
%movi 8, 9, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.60;
T_29.57 ;
%movi 8, 9, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.60;
T_29.58 ;
%movi 8, 4, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.60;
T_29.59 ;
%movi 8, 2, 5;
%set/v v00856900_0, 8, 5;
%set/v v00936350_0, 8, 5;
%jmp T_29.60;
T_29.60 ;
T_29.54 ;
%jmp T_29;
.thread T_29, $push;
.scope S_00832E50;
.scope S_009127C8;
T_30 ;
%wait E_00821BE0;
%set/v v008564E0_0, 0, 1;
%load/v 8, v00856538_0, 8;
%wait E_00900378;
%set/v v00935F30_0, 0, 1;
%load/v 8, v00935F88_0, 8;
%cmpi/u 8, 22, 8;
%mov 8, 4, 1;
%load/v 9, v00856538_0, 8;
%load/v 9, v00935F88_0, 8;
%cmpi/u 9, 23, 8;
%or 8, 4, 1;
%load/v 9, v00856538_0, 8;
%load/v 9, v00935F88_0, 8;
%cmpi/u 9, 141, 8;
%or 8, 4, 1;
%load/v 9, v00856538_0, 8;
%load/v 9, v00935F88_0, 8;
%cmpi/u 9, 14, 8;
%or 8, 4, 1;
%load/v 9, v00856538_0, 8;
%load/v 9, v00935F88_0, 8;
%cmpi/u 9, 110, 8;
%or 8, 4, 1;
%load/v 9, v00856538_0, 8;
%load/v 9, v00935F88_0, 8;
%cmpi/u 9, 126, 8;
%or 8, 4, 1;
%jmp/0xz T_30.0, 8;
%set/v v008564E0_0, 1, 1;
%set/v v00935F30_0, 1, 1;
T_30.0 ;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_30.2, 4;
%load/x1p 8, v00856538_0, 4;
%load/x1p 8, v00935F88_0, 4;
%jmp T_30.3;
T_30.2 ;
%mov 8, 2, 4;
5846,7 → 5948,7
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 2, 4;
%jmp/0xz T_30.4, 4;
%load/v 8, v00856538_0, 4; Only need 4 of 8 bits
%load/v 8, v00935F88_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
%cmpi/u 8, 0, 4;
%jmp/1 T_30.6, 6;
5882,18 → 5984,18
%jmp/1 T_30.21, 6;
%jmp T_30.22;
T_30.6 ;
%set/v v008564E0_0, 1, 1;
%set/v v00935F30_0, 1, 1;
%jmp T_30.22;
T_30.7 ;
%set/v v008564E0_0, 0, 1;
%set/v v00935F30_0, 0, 1;
%jmp T_30.22;
T_30.8 ;
%load/v 8, v00856488_0, 1; Only need 1 of 8 bits
%load/v 8, v00935ED8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.23, 4;
%load/x1p 9, v00856488_0, 1;
%load/x1p 9, v00935ED8_0, 1;
%jmp T_30.24;
T_30.23 ;
%mov 9, 2, 1;
5901,15 → 6003,15
; Save base=9 wid=1 in lookaside.
%and 8, 9, 1;
%inv 8, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.9 ;
%load/v 8, v00856488_0, 1; Only need 1 of 8 bits
%load/v 8, v00935ED8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.25, 4;
%load/x1p 9, v00856488_0, 1;
%load/x1p 9, v00935ED8_0, 1;
%jmp T_30.26;
T_30.25 ;
%mov 9, 2, 1;
5916,24 → 6018,24
T_30.26 ;
; Save base=9 wid=1 in lookaside.
%or 8, 9, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.10 ;
%load/v 8, v00856488_0, 1; Only need 1 of 8 bits
%load/v 8, v00935ED8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.11 ;
%load/v 8, v00856488_0, 1; Only need 1 of 8 bits
%load/v 8, v00935ED8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.12 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.27, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.28;
T_30.27 ;
%mov 8, 2, 1;
5940,25 → 6042,25
T_30.28 ;
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.13 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.29, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.30;
T_30.29 ;
%mov 8, 2, 1;
T_30.30 ;
; Save base=8 wid=1 in lookaside.
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.14 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.31, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.32;
T_30.31 ;
%mov 8, 2, 1;
5965,25 → 6067,25
T_30.32 ;
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.15 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.33, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.34;
T_30.33 ;
%mov 8, 2, 1;
T_30.34 ;
; Save base=8 wid=1 in lookaside.
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.16 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.35, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.36;
T_30.35 ;
%mov 8, 2, 1;
5990,25 → 6092,25
T_30.36 ;
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.17 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.37, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.38;
T_30.37 ;
%mov 8, 2, 1;
T_30.38 ;
; Save base=8 wid=1 in lookaside.
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.18 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.39, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.40;
T_30.39 ;
%mov 8, 2, 1;
6017,7 → 6119,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.41, 4;
%load/x1p 9, v00856488_0, 1;
%load/x1p 9, v00935ED8_0, 1;
%jmp T_30.42;
T_30.41 ;
%mov 9, 2, 1;
6025,13 → 6127,13
; Save base=9 wid=1 in lookaside.
%cmp/u 8, 9, 1;
%mov 8, 4, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.19 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.43, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.44;
T_30.43 ;
%mov 8, 2, 1;
6040,7 → 6142,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.45, 4;
%load/x1p 9, v00856488_0, 1;
%load/x1p 9, v00935ED8_0, 1;
%jmp T_30.46;
T_30.45 ;
%mov 9, 2, 1;
6049,13 → 6151,13
%cmp/u 8, 9, 1;
%inv 4, 1;
%mov 8, 4, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.20 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.47, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.48;
T_30.47 ;
%mov 8, 2, 1;
6064,7 → 6166,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.49, 4;
%load/x1p 9, v00856488_0, 1;
%load/x1p 9, v00935ED8_0, 1;
%jmp T_30.50;
T_30.49 ;
%mov 9, 2, 1;
6075,7 → 6177,7
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.51, 4;
%load/x1p 9, v00856488_0, 1;
%load/x1p 9, v00935ED8_0, 1;
%jmp T_30.52;
T_30.51 ;
%mov 9, 2, 1;
6083,13 → 6185,13
; Save base=9 wid=1 in lookaside.
%inv 9, 1;
%and 8, 9, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.21 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_30.53, 4;
%load/x1p 8, v00856488_0, 1;
%load/x1p 8, v00935ED8_0, 1;
%jmp T_30.54;
T_30.53 ;
%mov 8, 2, 1;
6098,7 → 6200,7
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_30.55, 4;
%load/x1p 9, v00856488_0, 1;
%load/x1p 9, v00935ED8_0, 1;
%jmp T_30.56;
T_30.55 ;
%mov 9, 2, 1;
6110,7 → 6212,7
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_30.57, 4;
%load/x1p 9, v00856488_0, 1;
%load/x1p 9, v00935ED8_0, 1;
%jmp T_30.58;
T_30.57 ;
%mov 9, 2, 1;
6117,120 → 6219,120
T_30.58 ;
; Save base=9 wid=1 in lookaside.
%or 8, 9, 1;
%set/v v008564E0_0, 8, 1;
%set/v v00935F30_0, 8, 1;
%jmp T_30.22;
T_30.22 ;
T_30.4 ;
%jmp T_30;
.thread T_30, $push;
.scope S_00832ED8;
.scope S_00912850;
T_31 ;
%wait E_00824880;
%load/v 8, v00A08728_0, 4;
%wait E_00903018;
%load/v 8, v00AE7178_0, 4;
%cmpi/u 8, 15, 4;
%inv 4, 1;
%jmp/0xz T_31.0, 4;
%load/v 8, v00A08728_0, 4;
%set/v v00A07468_0, 8, 4;
%load/v 8, v00AE7178_0, 4;
%set/v v00AE5EB8_0, 8, 4;
%jmp T_31.1;
T_31.0 ;
%load/v 8, v00A07728_0, 4;
%set/v v00A07468_0, 8, 4;
%load/v 8, v00AE6178_0, 4;
%set/v v00AE5EB8_0, 8, 4;
T_31.1 ;
%jmp T_31;
.thread T_31, $push;
.scope S_00832ED8;
.scope S_00912850;
T_32 ;
%wait E_00821BC0;
%load/v 8, v00A08728_0, 4;
%wait E_00900358;
%load/v 8, v00AE7178_0, 4;
%cmpi/u 8, 15, 4;
%inv 4, 1;
%jmp/0xz T_32.0, 4;
%load/v 8, v00A08728_0, 4;
%set/v v00A075C8_0, 8, 4;
%load/v 8, v00AE7178_0, 4;
%set/v v00AE6018_0, 8, 4;
%jmp T_32.1;
T_32.0 ;
%load/v 8, v00A076D0_0, 4;
%set/v v00A075C8_0, 8, 4;
%load/v 8, v00AE6120_0, 4;
%set/v v00AE6018_0, 8, 4;
T_32.1 ;
%jmp T_32;
.thread T_32, $push;
.scope S_00832ED8;
.scope S_00912850;
T_33 ;
%wait E_00824860;
%load/v 8, v00A08E60_0, 1;
%load/v 9, v00A08F68_0, 1;
%wait E_00902FF8;
%load/v 8, v00AE78B0_0, 1;
%load/v 9, v00AE79B8_0, 1;
%or 8, 9, 1;
%load/v 9, v00A08F10_0, 1;
%load/v 9, v00AE7960_0, 1;
%or 8, 9, 1;
%jmp/0xz T_33.0, 8;
%load/v 8, v00A083B8_0, 8;
%load/v 16, v00A08360_0, 8;
%set/v v00A07570_0, 8, 16;
%load/v 8, v00AE6E08_0, 8;
%load/v 16, v00AE6DB0_0, 8;
%set/v v00AE5FC0_0, 8, 16;
%jmp T_33.1;
T_33.0 ;
%load/v 8, v00A08DB0_0, 1;
%load/v 8, v00AE7800_0, 1;
%jmp/0xz T_33.2, 8;
%load/v 8, v00A07938_0, 1;
%load/v 8, v00AE6388_0, 1;
%jmp/0xz T_33.4, 8;
%load/v 8, v00A083B8_0, 8;
%load/v 16, v00A08360_0, 8;
%set/v v00A07570_0, 8, 16;
%load/v 8, v00AE6E08_0, 8;
%load/v 16, v00AE6DB0_0, 8;
%set/v v00AE5FC0_0, 8, 16;
%jmp T_33.5;
T_33.4 ;
%load/v 8, v00A09178_0, 16;
%set/v v00A07570_0, 8, 16;
%load/v 8, v00AE7BC8_0, 16;
%set/v v00AE5FC0_0, 8, 16;
T_33.5 ;
%jmp T_33.3;
T_33.2 ;
%load/v 8, v00A07018_0, 16;
%set/v v00A07570_0, 8, 16;
%load/v 8, v00AE5A68_0, 16;
%set/v v00AE5FC0_0, 8, 16;
T_33.3 ;
T_33.1 ;
%jmp T_33;
.thread T_33, $push;
.scope S_00832ED8;
.scope S_00912850;
T_34 ;
%wait E_008248E0;
%load/v 8, v00A07728_0, 4;
%wait E_00903078;
%load/v 8, v00AE6178_0, 4;
%cmpi/u 8, 12, 4;
%jmp/0xz T_34.0, 4;
%load/v 8, v00A083B8_0, 8;
%load/v 16, v00A08360_0, 8;
%set/v v00A074C0_0, 8, 16;
%load/v 8, v00AE6E08_0, 8;
%load/v 16, v00AE6DB0_0, 8;
%set/v v00AE5F10_0, 8, 16;
%jmp T_34.1;
T_34.0 ;
%load/v 8, v00A08DB0_0, 1;
%load/v 8, v00AE7800_0, 1;
%jmp/0xz T_34.2, 8;
%load/v 8, v00A07938_0, 1;
%load/v 8, v00AE6388_0, 1;
%jmp/0xz T_34.4, 8;
%load/v 8, v00A083B8_0, 8;
%load/v 16, v00A08360_0, 8;
%set/v v00A074C0_0, 8, 16;
%load/v 8, v00AE6E08_0, 8;
%load/v 16, v00AE6DB0_0, 8;
%set/v v00AE5F10_0, 8, 16;
%jmp T_34.5;
T_34.4 ;
%load/v 8, v00A09178_0, 16;
%set/v v00A074C0_0, 8, 16;
%load/v 8, v00AE7BC8_0, 16;
%set/v v00AE5F10_0, 8, 16;
T_34.5 ;
%jmp T_34.3;
T_34.2 ;
%load/v 8, v00A091D0_0, 16;
%set/v v00A074C0_0, 8, 16;
%load/v 8, v00AE7C20_0, 16;
%set/v v00AE5F10_0, 8, 16;
T_34.3 ;
T_34.1 ;
%jmp T_34;
.thread T_34, $push;
.scope S_00832ED8;
.scope S_00912850;
T_35 ;
%wait E_008248C0;
%load/v 8, v00A083B8_0, 8;
%load/v 16, v00A08360_0, 8;
%set/v v00A08468_0, 8, 16;
%load/v 8, v00A082D8_0, 2;
%wait E_00903058;
%load/v 8, v00AE6E08_0, 8;
%load/v 16, v00AE6DB0_0, 8;
%set/v v00AE6EB8_0, 8, 16;
%load/v 8, v00AE6D28_0, 2;
%cmpi/u 8, 0, 2;
%inv 4, 1;
%jmp/0xz T_35.0, 4;
%load/v 8, v00A07B48_0, 3;
%load/v 8, v00AE6598_0, 3;
%cmpi/u 8, 7, 3;
%jmp/1 T_35.2, 6;
%cmpi/u 8, 6, 3;
6243,19 → 6345,19
%jmp/1 T_35.6, 6;
%jmp T_35.7;
T_35.2 ;
%load/v 8, v00A09228_0, 16;
%load/v 24, v00A083B8_0, 8;
%load/v 32, v00A08360_0, 8;
%load/v 8, v00AE7C78_0, 16;
%load/v 24, v00AE6E08_0, 8;
%load/v 32, v00AE6DB0_0, 8;
%add 8, 24, 16;
%set/v v00A08468_0, 8, 16;
%set/v v00AE6EB8_0, 8, 16;
%jmp T_35.7;
T_35.3 ;
%load/v 8, v00A09228_0, 16;
%load/v 24, v00A083B8_0, 8;
%load/v 8, v00AE7C78_0, 16;
%load/v 24, v00AE6E08_0, 8;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_35.8, 4;
%load/x1p 48, v00A083B8_0, 1;
%load/x1p 48, v00AE6E08_0, 1;
%jmp T_35.9;
T_35.8 ;
%mov 48, 2, 1;
6270,28 → 6372,28
%mov 41, 40, 1; Repetition 2
%mov 32, 40, 8;
%add 8, 24, 16;
%set/v v00A08468_0, 8, 16;
%set/v v00AE6EB8_0, 8, 16;
%jmp T_35.7;
T_35.4 ;
%load/v 8, v00A08018_0, 8;
%load/v 16, v00A07FC0_0, 8;
%set/v v00A08468_0, 8, 16;
%load/v 8, v00AE6A68_0, 8;
%load/v 16, v00AE6A10_0, 8;
%set/v v00AE6EB8_0, 8, 16;
%jmp T_35.7;
T_35.5 ;
%load/v 8, v00A08018_0, 8;
%load/v 16, v00A09120_0, 8;
%set/v v00A08468_0, 8, 16;
%load/v 8, v00AE6A68_0, 8;
%load/v 16, v00AE7B70_0, 8;
%set/v v00AE6EB8_0, 8, 16;
%jmp T_35.7;
T_35.6 ;
%load/v 8, v00A07938_0, 1;
%load/v 8, v00AE6388_0, 1;
%jmp/0xz T_35.10, 8;
%load/v 8, v00A083B8_0, 8;
%load/v 16, v00A08360_0, 8;
%set/v v00A08468_0, 8, 16;
%load/v 8, v00AE6E08_0, 8;
%load/v 16, v00AE6DB0_0, 8;
%set/v v00AE6EB8_0, 8, 16;
%jmp T_35.11;
T_35.10 ;
%load/v 8, v00A09178_0, 16;
%set/v v00A08468_0, 8, 16;
%load/v 8, v00AE7BC8_0, 16;
%set/v v00AE6EB8_0, 8, 16;
T_35.11 ;
%jmp T_35.7;
T_35.7 ;
6298,10 → 6400,10
T_35.0 ;
%jmp T_35;
.thread T_35, $push;
.scope S_00832ED8;
.scope S_00912850;
T_36 ;
%wait E_00824620;
%load/v 8, v00A07780_0, 4;
%wait E_00902DB8;
%load/v 8, v00AE61D0_0, 4;
%cmpi/u 8, 12, 4;
%jmp/1 T_36.0, 6;
%cmpi/u 8, 6, 4;
6310,7 → 6412,7
%jmp/1 T_36.2, 6;
%cmpi/u 8, 7, 4;
%jmp/1 T_36.3, 6;
%load/v 8, v00A07BF8_0, 2;
%load/v 8, v00AE6648_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_36.6, 6;
%cmpi/u 8, 1, 2;
6321,63 → 6423,63
%jmp/1 T_36.9, 6;
%jmp T_36.10;
T_36.6 ;
%load/v 8, v00A09280_0, 16;
%set/v v00A07518_0, 8, 16;
%load/v 8, v00AE7CD0_0, 16;
%set/v v00AE5F68_0, 8, 16;
%jmp T_36.10;
T_36.7 ;
%movi 8, 1, 16;
%set/v v00A07518_0, 8, 16;
%set/v v00AE5F68_0, 8, 16;
%jmp T_36.10;
T_36.8 ;
%set/v v00A07518_0, 0, 16;
%set/v v00AE5F68_0, 0, 16;
%jmp T_36.10;
T_36.9 ;
%set/v v00A07518_0, 1, 16;
%set/v v00AE5F68_0, 1, 16;
%jmp T_36.10;
T_36.10 ;
%jmp T_36.5;
T_36.0 ;
%load/v 8, v00A083B8_0, 8;
%load/v 8, v00AE6E08_0, 8;
%mov 16, 0, 8;
%set/v v00A07518_0, 8, 16;
%set/v v00AE5F68_0, 8, 16;
%jmp T_36.5;
T_36.1 ;
%load/v 8, v00A083B8_0, 8;
%load/v 16, v00A08360_0, 8;
%set/v v00A07518_0, 8, 16;
%load/v 8, v00AE6E08_0, 8;
%load/v 16, v00AE6DB0_0, 8;
%set/v v00AE5F68_0, 8, 16;
%jmp T_36.5;
T_36.2 ;
%load/v 8, v00A083B8_0, 8;
%load/v 8, v00AE6E08_0, 8;
%mov 16, 0, 8;
%set/v v00A07518_0, 8, 16;
%set/v v00AE5F68_0, 8, 16;
%jmp T_36.5;
T_36.3 ;
%load/v 8, v00A083B8_0, 8;
%load/v 16, v00A08360_0, 8;
%set/v v00A07518_0, 8, 16;
%load/v 8, v00AE6E08_0, 8;
%load/v 16, v00AE6DB0_0, 8;
%set/v v00AE5F68_0, 8, 16;
%jmp T_36.5;
T_36.5 ;
%jmp T_36;
.thread T_36, $push;
.scope S_00832ED8;
.scope S_00912850;
T_37 ;
%wait E_00824BE0;
%load/v 8, v00A088E0_0, 1;
%wait E_00903378;
%load/v 8, v00AE7330_0, 1;
%jmp/0xz T_37.0, 8;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 0;
%assign/v0 v00AE8DB0_0, 0, 0;
%ix/load 0, 3, 0;
%assign/v0 v00A08888_0, 0, 0;
%assign/v0 v00AE72D8_0, 0, 0;
%ix/load 0, 3, 0;
%assign/v0 v00A087D8_0, 0, 0;
%assign/v0 v00AE7228_0, 0, 0;
%ix/load 0, 3, 0;
%assign/v0 v00A08830_0, 0, 0;
%assign/v0 v00AE7280_0, 0, 0;
%jmp T_37.1;
T_37.0 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.2, 4;
%load/x1p 8, v00A08888_0, 1;
%load/x1p 8, v00AE72D8_0, 1;
%jmp T_37.3;
T_37.2 ;
%mov 8, 2, 1;
6385,15 → 6487,15
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%jmp/0xz T_37.4, 8;
%load/v 8, v00A07280_0, 1;
%load/v 9, v00A08888_0, 2; Select 2 out of 3 bits
%load/v 8, v00AE5CD0_0, 1;
%load/v 9, v00AE72D8_0, 2; Select 2 out of 3 bits
%ix/load 0, 3, 0;
%assign/v0 v00A08888_0, 0, 8;
%assign/v0 v00AE72D8_0, 0, 8;
T_37.4 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.6, 4;
%load/x1p 8, v00A08830_0, 1;
%load/x1p 8, v00AE7280_0, 1;
%jmp T_37.7;
T_37.6 ;
%mov 8, 2, 1;
6401,15 → 6503,15
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%jmp/0xz T_37.8, 8;
%load/v 8, v00A07228_0, 1;
%load/v 9, v00A08830_0, 2; Select 2 out of 3 bits
%load/v 8, v00AE5C78_0, 1;
%load/v 9, v00AE7280_0, 2; Select 2 out of 3 bits
%ix/load 0, 3, 0;
%assign/v0 v00A08830_0, 0, 8;
%assign/v0 v00AE7280_0, 0, 8;
T_37.8 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.10, 4;
%load/x1p 8, v00A087D8_0, 1;
%load/x1p 8, v00AE7228_0, 1;
%jmp T_37.11;
T_37.10 ;
%mov 8, 2, 1;
6417,72 → 6519,72
; Save base=8 wid=1 in lookaside.
%inv 8, 1;
%jmp/0xz T_37.12, 8;
%load/v 8, v00A071D0_0, 1;
%load/v 9, v00A087D8_0, 2; Select 2 out of 3 bits
%load/v 8, v00AE5C20_0, 1;
%load/v 9, v00AE7228_0, 2; Select 2 out of 3 bits
%ix/load 0, 3, 0;
%assign/v0 v00A087D8_0, 0, 8;
%assign/v0 v00AE7228_0, 0, 8;
T_37.12 ;
%load/v 8, v00A08120_0, 1;
%load/v 8, v00AE6B70_0, 1;
%jmp/0xz T_37.14, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08120_0, 0, 0;
%assign/v0 v00AE6B70_0, 0, 0;
T_37.14 ;
%load/v 8, v00A08A40_0, 1;
%load/v 8, v00AE7490_0, 1;
%jmp/0xz T_37.16, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08A40_0, 0, 0;
%assign/v0 v00AE7490_0, 0, 0;
T_37.16 ;
%load/v 8, v00A07F10_0, 1;
%load/v 8, v00AE6960_0, 1;
%jmp/0xz T_37.18, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A07F10_0, 0, 0;
%assign/v0 v00AE6960_0, 0, 0;
T_37.18 ;
%load/v 8, v00A07EB8_0, 1;
%load/v 8, v00AE6908_0, 1;
%jmp/0xz T_37.20, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A07EB8_0, 0, 0;
%assign/v0 v00AE6908_0, 0, 0;
T_37.20 ;
%load/v 8, v00A08A98_0, 1;
%load/v 8, v00AE74E8_0, 1;
%jmp/0xz T_37.22, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08A98_0, 0, 0;
%assign/v0 v00AE74E8_0, 0, 0;
T_37.22 ;
%load/v 8, v00A07F68_0, 1;
%load/v 8, v00AE69B8_0, 1;
%jmp/0xz T_37.24, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A07F68_0, 0, 0;
%assign/v0 v00AE69B8_0, 0, 0;
T_37.24 ;
%load/v 8, v00A08178_0, 1;
%load/v 8, v00AE6BC8_0, 1;
%jmp/0xz T_37.26, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08178_0, 0, 0;
%assign/v0 v00AE6BC8_0, 0, 0;
T_37.26 ;
%load/v 8, v00A08938_0, 1;
%load/v 8, v00AE7388_0, 1;
%jmp/0xz T_37.28, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08938_0, 0, 0;
%assign/v0 v00AE7388_0, 0, 0;
T_37.28 ;
%load/v 8, v00A07DB0_0, 1;
%load/v 8, v00AE6800_0, 1;
%jmp/0xz T_37.30, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A07DB0_0, 0, 0;
%assign/v0 v00AE6800_0, 0, 0;
T_37.30 ;
%load/v 8, v00A08990_0, 1;
%load/v 8, v00AE73E0_0, 1;
%jmp/0xz T_37.32, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08990_0, 0, 0;
%assign/v0 v00AE73E0_0, 0, 0;
T_37.32 ;
%load/v 8, v00A089E8_0, 1;
%load/v 8, v00AE7438_0, 1;
%jmp/0xz T_37.34, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A089E8_0, 0, 0;
%assign/v0 v00AE7438_0, 0, 0;
T_37.34 ;
%load/v 8, v00A08AF0_0, 1;
%load/v 8, v00AE7540_0, 1;
%jmp/0xz T_37.36, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08AF0_0, 0, 0;
%assign/v0 v00AE7540_0, 0, 0;
T_37.36 ;
%load/v 8, v00A0A360_0, 6;
%load/v 8, v00AE8DB0_0, 6;
%cmpi/u 8, 0, 6;
%jmp/1 T_37.38, 6;
%cmpi/u 8, 1, 6;
6590,213 → 6692,213
%jmp T_37.90;
T_37.38 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 1;
%assign/v0 v00AE6A10_0, 0, 1;
%movi 8, 254, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%movi 8, 8, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%ix/load 0, 2, 0;
%assign/v0 v00A082D8_0, 0, 0;
%assign/v0 v00AE6D28_0, 0, 0;
%jmp T_37.90;
T_37.39 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
%ix/load 0, 3, 0;
%assign/v0 v00A08888_0, 0, 0;
%assign/v0 v00AE72D8_0, 0, 0;
%movi 8, 65532, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 16;
%assign/v0 v00AE6A10_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 1;
%assign/v0 v00AE71D0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A08938_0, 0, 1;
%assign/v0 v00AE7388_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BA0_0, 0, 8;
%assign/v0 v00AE75F0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.90;
T_37.40 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 65530, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 16;
%assign/v0 v00AE6A10_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 1;
%assign/v0 v00AE71D0_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BA0_0, 0, 8;
%assign/v0 v00AE75F0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08938_0, 0, 1;
%assign/v0 v00AE7388_0, 0, 1;
%jmp T_37.90;
T_37.41 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
%ix/load 0, 3, 0;
%assign/v0 v00A08830_0, 0, 0;
%assign/v0 v00AE7280_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 65528, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 16;
%assign/v0 v00AE6A10_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 1;
%assign/v0 v00AE71D0_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08938_0, 0, 1;
%assign/v0 v00AE7388_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BA0_0, 0, 8;
%assign/v0 v00AE75F0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.90;
T_37.42 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
%ix/load 0, 3, 0;
%assign/v0 v00A087D8_0, 0, 0;
%assign/v0 v00AE7228_0, 0, 0;
%movi 8, 65526, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 16;
%assign/v0 v00AE6A10_0, 0, 16;
%movi 8, 129, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 8;
%assign/v0 v00AE71D0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A07DB0_0, 0, 1;
%assign/v0 v00AE6800_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BA0_0, 0, 8;
%assign/v0 v00AE75F0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.90;
T_37.43 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
%movi 8, 65524, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 16;
%assign/v0 v00AE6A10_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 1;
%assign/v0 v00AE71D0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A08938_0, 0, 1;
%assign/v0 v00AE7388_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BA0_0, 0, 8;
%assign/v0 v00AE75F0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.90;
T_37.44 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
%movi 8, 65522, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 16;
%assign/v0 v00AE6A10_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 1;
%assign/v0 v00AE71D0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A08938_0, 0, 1;
%assign/v0 v00AE7388_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BA0_0, 0, 8;
%assign/v0 v00AE75F0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.90;
T_37.45 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
%movi 8, 65520, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 16;
%assign/v0 v00AE6A10_0, 0, 16;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 1;
%assign/v0 v00AE71D0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A08938_0, 0, 1;
%assign/v0 v00AE7388_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BA0_0, 0, 8;
%assign/v0 v00AE75F0_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.90;
T_37.46 ;
%vpi_call 3 471 "$display", "cpu_data_i %02x %t", v00A07120_0, $time;
%vpi_call 3 471 "$display", "cpu_data_i %02x %t", v00AE5B70_0, $time;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00A082D8_0, 0, 8;
%assign/v0 v00AE6D28_0, 0, 8;
%jmp T_37.90;
T_37.47 ;
%load/v 8, v00A084C0_0, 1;
%load/v 8, v00AE6F10_0, 1;
%jmp/0xz T_37.91, 8;
%movi 8, 1, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.92;
T_37.91 ;
%load/v 8, v00A08070_0, 1;
%load/v 8, v00AE6AC0_0, 1;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.93, 4;
%load/x1p 9, v00A090C8_0, 1;
%load/x1p 9, v00AE7B18_0, 1;
%jmp T_37.94;
T_37.93 ;
%mov 9, 2, 1;
6806,14 → 6908,14
%jmp/0xz T_37.95, 8;
%movi 8, 4, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.96;
T_37.95 ;
%load/v 8, v00A08280_0, 1;
%load/v 8, v00AE6CD0_0, 1;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.97, 4;
%load/x1p 9, v00A090C8_0, 1;
%load/x1p 9, v00AE7B18_0, 1;
%jmp T_37.98;
T_37.97 ;
%mov 9, 2, 1;
6823,15 → 6925,15
%jmp/0xz T_37.99, 8;
%movi 8, 3, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.100;
T_37.99 ;
%movi 8, 10, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A09228_0, 16;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE7C78_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
T_37.100 ;
T_37.96 ;
T_37.92 ;
6838,24 → 6940,24
%jmp T_37.90;
T_37.48 ;
%ix/load 0, 1, 0;
%assign/v0 v00A07EB8_0, 0, 1;
%assign/v0 v00AE6908_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A08120_0, 0, 1;
%assign/v0 v00AE6B70_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A08620_0, 0, 0;
%assign/v0 v00AE7070_0, 0, 0;
%ix/load 0, 1, 0;
%assign/v0 v00A08678_0, 0, 0;
%assign/v0 v00AE70C8_0, 0, 0;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 1;
%assign/v0 v00AE7178_0, 0, 1;
%movi 8, 11, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.49 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A085C8_0, 0, 8;
%load/v 8, v00A07120_0, 8;
%assign/v0 v00AE7018_0, 0, 8;
%load/v 8, v00AE5B70_0, 8;
%cmpi/u 8, 16, 8;
%jmp/1 T_37.101, 6;
%cmpi/u 8, 17, 8;
6866,31 → 6968,31
%jmp/1 T_37.104, 6;
%movi 8, 15, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.106;
T_37.101 ;
%ix/load 0, 1, 0;
%assign/v0 v00A08620_0, 0, 1;
%assign/v0 v00AE7070_0, 0, 1;
%movi 8, 12, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.106;
T_37.102 ;
%ix/load 0, 1, 0;
%assign/v0 v00A08678_0, 0, 1;
%assign/v0 v00AE70C8_0, 0, 1;
%movi 8, 12, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.106;
T_37.103 ;
%movi 8, 12, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.106;
T_37.104 ;
%movi 8, 12, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.106;
T_37.106 ;
%jmp T_37.90;
6897,30 → 6999,30
T_37.50 ;
%movi 8, 13, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A09228_0, 16;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE7C78_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%jmp T_37.90;
T_37.51 ;
%ix/load 0, 1, 0;
%assign/v0 v00A07EB8_0, 0, 1;
%assign/v0 v00AE6908_0, 0, 1;
%movi 8, 14, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.52 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A086D0_0, 0, 8;
%assign/v0 v00AE7120_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08120_0, 0, 1;
%assign/v0 v00AE6B70_0, 0, 1;
%movi 8, 16, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.53 ;
%load/v 8, v00A07B48_0, 3;
%load/v 8, v00AE6598_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.107, 6;
%cmpi/u 8, 1, 3;
6939,98 → 7041,98
%jmp/1 T_37.114, 6;
%jmp T_37.115;
T_37.107 ;
%load/v 8, v00A09018_0, 1;
%load/v 8, v00AE7A68_0, 1;
%jmp/0xz T_37.116, 8;
%movi 8, 38, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.117;
T_37.116 ;
%load/v 8, v00A08EB8_0, 1;
%load/v 8, v00AE7908_0, 1;
%jmp/0xz T_37.118, 8;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BA0_0, 0, 8;
%assign/v0 v00AE75F0_0, 0, 8;
%jmp T_37.119;
T_37.118 ;
%load/v 8, v00A08E60_0, 1;
%load/v 8, v00AE78B0_0, 1;
%jmp/0xz T_37.120, 8;
%movi 8, 33, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.121;
T_37.120 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.121 ;
T_37.119 ;
T_37.117 ;
%jmp T_37.115;
T_37.108 ;
%load/v 8, v00A07830_0, 1;
%load/v 8, v00AE6280_0, 1;
%jmp/0xz T_37.122, 8;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.123;
T_37.122 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.123 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.115;
T_37.109 ;
%load/v 8, v00A08F10_0, 1;
%load/v 8, v00AE7960_0, 1;
%jmp/0xz T_37.124, 8;
%movi 8, 33, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 1;
%assign/v0 v00AE71D0_0, 0, 1;
%jmp T_37.125;
T_37.124 ;
%load/v 8, v00A08F68_0, 1;
%load/v 8, v00AE79B8_0, 1;
%jmp/0xz T_37.126, 8;
%movi 8, 33, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 128, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 8;
%assign/v0 v00AE71D0_0, 0, 8;
%jmp T_37.127;
T_37.126 ;
%load/v 8, v00A08E08_0, 1;
%load/v 8, v00AE7858_0, 1;
%jmp/0xz T_37.128, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08410_0, 0, 1;
%assign/v0 v00AE6E60_0, 0, 1;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.129;
T_37.128 ;
%load/v 8, v00A08FC0_0, 1;
%load/v 8, v00AE7A10_0, 1;
%jmp/0xz T_37.130, 8;
%movi 8, 2, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.131;
T_37.130 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.131 ;
T_37.129 ;
T_37.127 ;
7039,49 → 7141,49
T_37.110 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A08D58_0, 1;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE77A8_0, 1;
%jmp/0xz T_37.132, 8;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.133;
T_37.132 ;
%load/v 8, v00A08D00_0, 1;
%load/v 8, v00AE7750_0, 1;
%jmp/0xz T_37.134, 8;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.135;
T_37.134 ;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00A082D8_0, 0, 8;
%load/v 8, v00A07BA0_0, 4;
%assign/v0 v00AE6D28_0, 0, 8;
%load/v 8, v00AE65F0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00A07BA0_0, 4;
%load/v 9, v00AE65F0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00A07AF0_0, 4;
%load/v 9, v00AE6540_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.136, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.137;
T_37.136 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
T_37.137 ;
%load/v 8, v00A09120_0, 8;
%load/v 8, v00AE7B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 8;
%assign/v0 v00AE6A10_0, 0, 8;
T_37.135 ;
T_37.133 ;
%jmp T_37.115;
7088,50 → 7190,50
T_37.111 ;
%movi 8, 22, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.115;
T_37.112 ;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A08D58_0, 1;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE77A8_0, 1;
%jmp/0xz T_37.138, 8;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.139;
T_37.138 ;
%load/v 8, v00A08D00_0, 1;
%load/v 8, v00AE7750_0, 1;
%jmp/0xz T_37.140, 8;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.141;
T_37.140 ;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00A082D8_0, 0, 8;
%load/v 8, v00A07BA0_0, 4;
%assign/v0 v00AE6D28_0, 0, 8;
%load/v 8, v00AE65F0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00A07BA0_0, 4;
%load/v 9, v00AE65F0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00A07AF0_0, 4;
%load/v 9, v00AE6540_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.142, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.143;
T_37.142 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
T_37.143 ;
T_37.141 ;
T_37.139 ;
7139,39 → 7241,39
T_37.113 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A08D58_0, 1;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE77A8_0, 1;
%jmp/0xz T_37.144, 8;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.145;
T_37.144 ;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
T_37.145 ;
%jmp T_37.115;
T_37.114 ;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A08D58_0, 1;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE77A8_0, 1;
%jmp/0xz T_37.146, 8;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.147;
T_37.146 ;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
T_37.147 ;
%jmp T_37.115;
T_37.115 ;
%jmp T_37.90;
T_37.54 ;
%load/v 8, v00A07B48_0, 3;
%load/v 8, v00AE6598_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.148, 6;
%cmpi/u 8, 1, 3;
7188,27 → 7290,27
%jmp/1 T_37.154, 6;
%jmp T_37.155;
T_37.148 ;
%load/v 8, v00A08CA8_0, 1;
%load/v 8, v00AE76F8_0, 1;
%jmp/0xz T_37.156, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A089E8_0, 0, 1;
%assign/v0 v00AE7438_0, 0, 1;
%movi 8, 21, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.157;
T_37.156 ;
%load/v 8, v00A09070_0, 1;
%load/v 8, v00AE7AC0_0, 1;
%jmp/0xz T_37.158, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08AF0_0, 0, 1;
%assign/v0 v00AE7540_0, 0, 1;
%movi 8, 21, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.159;
T_37.158 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.159 ;
T_37.157 ;
%jmp T_37.155;
7215,23 → 7317,23
T_37.149 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%load/v 8, v00A07830_0, 1;
%assign/v0 v00AE7648_0, 0, 8;
%load/v 8, v00AE6280_0, 1;
%jmp/0xz T_37.160, 8;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.161;
T_37.160 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.161 ;
%jmp T_37.155;
T_37.150 ;
%load/v 8, v00A08FC0_0, 1;
%load/v 8, v00AE7A10_0, 1;
%jmp/0xz T_37.162, 8;
%load/v 8, v00A08620_0, 1;
%load/v 8, v00AE7070_0, 1;
%jmp/0 T_37.164, 8;
%movi 9, 5, 6;
%jmp/1 T_37.166, 8;
7245,117 → 7347,117
%mov 9, 15, 6; Return false value
T_37.166 ;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 9;
%assign/v0 v00AE8DB0_0, 0, 9;
%jmp T_37.163;
T_37.162 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.163 ;
%jmp T_37.155;
T_37.151 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00A082D8_0, 0, 8;
%load/v 8, v00A07BA0_0, 4;
%assign/v0 v00AE6D28_0, 0, 8;
%load/v 8, v00AE65F0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00A07BA0_0, 4;
%load/v 9, v00AE65F0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00A07AF0_0, 4;
%load/v 9, v00AE6540_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.167, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.168;
T_37.167 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
T_37.168 ;
%load/v 8, v00A09120_0, 8;
%load/v 8, v00AE7B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 8;
%assign/v0 v00AE6A10_0, 0, 8;
%jmp T_37.155;
T_37.152 ;
%movi 8, 22, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.155;
T_37.153 ;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00A082D8_0, 0, 8;
%load/v 8, v00A07BA0_0, 4;
%assign/v0 v00AE6D28_0, 0, 8;
%load/v 8, v00AE65F0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00A07BA0_0, 4;
%load/v 9, v00AE65F0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00A07AF0_0, 4;
%load/v 9, v00AE6540_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.169, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.170;
T_37.169 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
T_37.170 ;
%jmp T_37.155;
T_37.154 ;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.155;
T_37.155 ;
%jmp T_37.90;
T_37.55 ;
%load/v 8, v00A08410_0, 1;
%load/v 8, v00AE6E60_0, 1;
%inv 8, 1;
%jmp/0xz T_37.171, 8;
%movi 8, 18, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08990_0, 0, 1;
%assign/v0 v00AE73E0_0, 0, 1;
T_37.171 ;
%ix/load 0, 1, 0;
%assign/v0 v00A08410_0, 0, 0;
%assign/v0 v00AE6E60_0, 0, 0;
%jmp T_37.90;
T_37.56 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%load/v 8, v00A08C50_0, 1;
%assign/v0 v00AE7598_0, 0, 8;
%load/v 8, v00AE76A0_0, 1;
%jmp/0xz T_37.173, 8;
%movi 8, 19, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.174;
T_37.173 ;
%load/v 8, v00A078E0_0, 4;
%load/v 8, v00AE6330_0, 4;
%cmpi/u 8, 12, 4;
%jmp/1 T_37.175, 6;
%cmpi/u 8, 6, 4;
7362,24 → 7464,24
%jmp/1 T_37.176, 6;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A07A98_0, 1;
%load/v 9, v00A07B48_0, 3;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE64E8_0, 1;
%load/v 9, v00AE6598_0, 3;
%cmpi/u 9, 4, 3;
%mov 9, 4, 1;
%and 8, 9, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A08A98_0, 0, 8;
%assign/v0 v00AE74E8_0, 0, 8;
%jmp T_37.178;
T_37.175 ;
%movi 8, 62, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.178;
T_37.176 ;
%movi 8, 60, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.178;
T_37.178 ;
T_37.174 ;
7386,45 → 7488,45
%jmp T_37.90;
T_37.57 ;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 1;
%assign/v0 v00AE71D0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A08938_0, 0, 1;
%assign/v0 v00AE7388_0, 0, 1;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 20, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BA0_0, 0, 8;
%assign/v0 v00AE75F0_0, 0, 8;
%jmp T_37.90;
T_37.58 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 1;
%assign/v0 v00AE6A10_0, 0, 1;
%ix/load 0, 4, 0;
%ix/load 1, 4, 0;
%assign/v0/x1 v00A08018_0, 0, 1;
%load/v 8, v00A084C0_0, 1;
%assign/v0/x1 v00AE6A68_0, 0, 1;
%load/v 8, v00AE6F10_0, 1;
%jmp/0xz T_37.179, 8;
%ix/load 0, 3, 0;
%assign/v0 v00A08888_0, 0, 0;
%assign/v0 v00AE72D8_0, 0, 0;
%movi 8, 12, 4;
%ix/load 0, 4, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00A08018_0, 0, 8;
%assign/v0/x1 v00AE6A68_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.180;
T_37.179 ;
%load/v 8, v00A08070_0, 1;
%load/v 8, v00AE6AC0_0, 1;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.181, 4;
%load/x1p 9, v00A090C8_0, 1;
%load/x1p 9, v00AE7B18_0, 1;
%jmp T_37.182;
T_37.181 ;
%mov 9, 2, 1;
7433,21 → 7535,21
%and 8, 9, 1;
%jmp/0xz T_37.183, 8;
%ix/load 0, 3, 0;
%assign/v0 v00A087D8_0, 0, 0;
%assign/v0 v00AE7228_0, 0, 0;
%movi 8, 6, 4;
%ix/load 0, 4, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00A08018_0, 0, 8;
%assign/v0/x1 v00AE6A68_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.184;
T_37.183 ;
%load/v 8, v00A08280_0, 1;
%load/v 8, v00AE6CD0_0, 1;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.185, 4;
%load/x1p 9, v00A090C8_0, 1;
%load/x1p 9, v00AE7B18_0, 1;
%jmp T_37.186;
T_37.185 ;
%mov 9, 2, 1;
7456,42 → 7558,42
%and 8, 9, 1;
%jmp/0xz T_37.187, 8;
%ix/load 0, 3, 0;
%assign/v0 v00A08830_0, 0, 0;
%assign/v0 v00AE7280_0, 0, 0;
%movi 8, 8, 4;
%ix/load 0, 4, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00A08018_0, 0, 8;
%assign/v0/x1 v00AE6A68_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.187 ;
T_37.184 ;
T_37.180 ;
%jmp T_37.90;
T_37.59 ;
%load/v 8, v00A084C0_0, 1;
%load/v 8, v00AE6F10_0, 1;
%jmp/0xz T_37.189, 8;
%load/v 8, v00A08888_0, 3;
%load/v 8, v00AE72D8_0, 3;
%cmpi/u 8, 7, 3;
%jmp/0xz T_37.191, 4;
%movi 8, 1, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.192;
T_37.191 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 3, 0;
%assign/v0 v00A08888_0, 0, 0;
%assign/v0 v00AE72D8_0, 0, 0;
T_37.192 ;
%jmp T_37.190;
T_37.189 ;
%load/v 8, v00A08070_0, 1;
%load/v 8, v00AE6AC0_0, 1;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.193, 4;
%load/x1p 9, v00A090C8_0, 1;
%load/x1p 9, v00AE7B18_0, 1;
%jmp T_37.194;
T_37.193 ;
%mov 9, 2, 1;
7499,27 → 7601,27
; Save base=9 wid=1 in lookaside.
%and 8, 9, 1;
%jmp/0xz T_37.195, 8;
%load/v 8, v00A087D8_0, 3;
%load/v 8, v00AE7228_0, 3;
%cmpi/u 8, 7, 3;
%jmp/0xz T_37.197, 4;
%movi 8, 4, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.198;
T_37.197 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 3, 0;
%assign/v0 v00A087D8_0, 0, 0;
%assign/v0 v00AE7228_0, 0, 0;
T_37.198 ;
%jmp T_37.196;
T_37.195 ;
%load/v 8, v00A08280_0, 1;
%load/v 8, v00AE6CD0_0, 1;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.199, 4;
%load/x1p 9, v00A090C8_0, 1;
%load/x1p 9, v00AE7B18_0, 1;
%jmp T_37.200;
T_37.199 ;
%mov 9, 2, 1;
7527,28 → 7629,28
; Save base=9 wid=1 in lookaside.
%and 8, 9, 1;
%jmp/0xz T_37.201, 8;
%load/v 8, v00A08830_0, 3;
%load/v 8, v00AE7280_0, 3;
%cmpi/u 8, 7, 3;
%jmp/0xz T_37.203, 4;
%movi 8, 3, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.204;
T_37.203 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 3, 0;
%assign/v0 v00A08830_0, 0, 0;
%assign/v0 v00AE7280_0, 0, 0;
T_37.204 ;
%jmp T_37.202;
T_37.201 ;
%movi 8, 10, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A09228_0, 16;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE7C78_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
T_37.202 ;
T_37.196 ;
T_37.190 ;
7556,92 → 7658,92
T_37.60 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.61 ;
%load/v 8, v00A09228_0, 16;
%load/v 8, v00AE7C78_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%movi 8, 23, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08120_0, 0, 1;
%assign/v0 v00AE6B70_0, 0, 1;
%jmp T_37.90;
T_37.62 ;
%ix/load 0, 1, 0;
%assign/v0 v00A07EB8_0, 0, 1;
%assign/v0 v00AE6908_0, 0, 1;
%movi 8, 24, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.63 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A081D0_0, 0, 8;
%assign/v0 v00AE6C20_0, 0, 8;
%movi 8, 25, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.64 ;
%ix/load 0, 1, 0;
%assign/v0 v00A08228_0, 0, 0;
%load/v 8, v00A07A40_0, 1;
%assign/v0 v00AE6C78_0, 0, 0;
%load/v 8, v00AE6490_0, 1;
%jmp/0xz T_37.205, 8;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 26, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.206;
T_37.205 ;
%load/v 8, v00A079E8_0, 1;
%load/v 8, v00AE6438_0, 1;
%jmp/0xz T_37.207, 8;
%movi 8, 48, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 26, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.208;
T_37.207 ;
%load/v 8, v00A08D58_0, 1;
%load/v 8, v00AE77A8_0, 1;
%jmp/0xz T_37.209, 8;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.210;
T_37.209 ;
%load/v 8, v00A07BA0_0, 4;
%load/v 8, v00AE65F0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00A07BA0_0, 4;
%load/v 9, v00AE65F0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00A07AF0_0, 4;
%load/v 9, v00AE6540_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.211, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00A082D8_0, 0, 8;
%assign/v0 v00AE6D28_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A07938_0, 1;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE6388_0, 1;
%jmp/0xz T_37.213, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
T_37.213 ;
%jmp T_37.212;
T_37.211 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.212 ;
T_37.210 ;
T_37.208 ;
7648,42 → 7750,42
T_37.206 ;
%jmp T_37.90;
T_37.65 ;
%load/v 8, v00A08D58_0, 1;
%load/v 8, v00AE77A8_0, 1;
%jmp/0xz T_37.215, 8;
%movi 8, 28, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.216;
T_37.215 ;
%load/v 8, v00A07BA0_0, 4;
%load/v 8, v00AE65F0_0, 4;
%cmpi/u 8, 12, 4;
%mov 8, 4, 1;
%load/v 9, v00A07BA0_0, 4;
%load/v 9, v00AE65F0_0, 4;
%cmpi/u 9, 6, 4;
%or 8, 4, 1;
%load/v 9, v00A07AF0_0, 4;
%load/v 9, v00AE6540_0, 4;
%cmpi/u 9, 12, 4;
%or 8, 4, 1;
%jmp/0xz T_37.217, 8;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00A082D8_0, 0, 8;
%assign/v0 v00AE6D28_0, 0, 8;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%load/v 8, v00A07938_0, 1;
%assign/v0 v00AE8DB0_0, 0, 8;
%load/v 8, v00AE6388_0, 1;
%jmp/0xz T_37.219, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 1;
%assign/v0 v00AE6B18_0, 0, 1;
T_37.219 ;
%jmp T_37.218;
T_37.217 ;
%movi 8, 17, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.218 ;
T_37.216 ;
%jmp T_37.90;
7690,40 → 7792,40
T_37.66 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.67 ;
%movi 8, 5, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%movi 8, 34, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%movi 8, 27, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%jmp T_37.90;
T_37.68 ;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08BF8_0, 0, 8;
%load/v 8, v00A08780_0, 8;
%assign/v0 v00AE7648_0, 0, 8;
%load/v 8, v00AE71D0_0, 8;
%mov 16, 0, 1;
%cmp/u 0, 8, 9;
%jmp/0xz T_37.221, 5;
%movi 8, 34, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.222;
T_37.221 ;
%load/v 8, v00A08BA0_0, 6;
%load/v 8, v00AE75F0_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.222 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_37.223, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.224;
T_37.223 ;
%mov 8, 2, 1;
7732,16 → 7834,16
%jmp/0xz T_37.225, 8;
%ix/load 0, 1, 0;
%ix/load 1, 7, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 5, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%jmp T_37.226;
T_37.225 ;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.227, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.228;
T_37.227 ;
%mov 8, 2, 1;
7750,8 → 7852,8
%jmp/0xz T_37.229, 8;
%ix/load 0, 1, 0;
%ix/load 1, 6, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%load/v 8, v00A07CA8_0, 1;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%load/v 8, v00AE66F8_0, 1;
%jmp/0 T_37.231, 8;
%movi 9, 3, 4;
%jmp/1 T_37.233, 8;
7765,13 → 7867,13
%mov 9, 13, 4; Return false value
T_37.233 ;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 9;
%assign/v0 v00AE7178_0, 0, 9;
%jmp T_37.230;
T_37.229 ;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.234, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.235;
T_37.234 ;
%mov 8, 2, 1;
7780,16 → 7882,16
%jmp/0xz T_37.236, 8;
%ix/load 0, 1, 0;
%ix/load 1, 5, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 2, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%jmp T_37.237;
T_37.236 ;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_37.238, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.239;
T_37.238 ;
%mov 8, 2, 1;
7798,16 → 7900,16
%jmp/0xz T_37.240, 8;
%ix/load 0, 1, 0;
%ix/load 1, 4, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 1, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%jmp T_37.241;
T_37.240 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_37.242, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.243;
T_37.242 ;
%mov 8, 2, 1;
7816,16 → 7918,16
%jmp/0xz T_37.244, 8;
%ix/load 0, 1, 0;
%ix/load 1, 3, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 11, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%jmp T_37.245;
T_37.244 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.246, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.247;
T_37.246 ;
%mov 8, 2, 1;
7834,16 → 7936,16
%jmp/0xz T_37.248, 8;
%ix/load 0, 1, 0;
%ix/load 1, 2, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 9, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%jmp T_37.249;
T_37.248 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_37.250, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.251;
T_37.250 ;
%mov 8, 2, 1;
7852,21 → 7954,21
%jmp/0xz T_37.252, 8;
%ix/load 0, 1, 0;
%ix/load 1, 1, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 8, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%jmp T_37.253;
T_37.252 ;
%load/v 8, v00A08780_0, 1; Only need 1 of 8 bits
%load/v 8, v00AE71D0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%jmp/0xz T_37.254, 8;
%ix/load 0, 1, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 10, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
T_37.254 ;
T_37.253 ;
T_37.249 ;
7877,41 → 7979,41
T_37.226 ;
%jmp T_37.90;
T_37.69 ;
%load/v 8, v00A08780_0, 8;
%load/v 8, v00AE71D0_0, 8;
%cmpi/u 8, 0, 8;
%inv 4, 1;
%jmp/0xz T_37.256, 4;
%movi 8, 1, 2;
%ix/load 0, 2, 0;
%assign/v0 v00A082D8_0, 0, 8;
%assign/v0 v00AE6D28_0, 0, 8;
%movi 8, 33, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A08B48_0, 0, 8;
%assign/v0 v00AE7598_0, 0, 8;
%jmp T_37.257;
T_37.256 ;
%movi 8, 9, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.257 ;
%load/v 8, v00A08780_0, 1; Only need 1 of 8 bits
%load/v 8, v00AE71D0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
%jmp/0xz T_37.258, 8;
%movi 8, 10, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 0, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 57, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.259;
T_37.258 ;
%load/v 8, v00A08F10_0, 1;
%load/v 8, v00AE7960_0, 1;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_37.260, 4;
%load/x1p 9, v00A090C8_0, 1;
%load/x1p 9, v00AE7B18_0, 1;
%jmp T_37.261;
T_37.260 ;
%mov 9, 2, 1;
7922,18 → 8024,18
%jmp/0xz T_37.262, 8;
%movi 8, 5, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 0;
%assign/v0 v00AE71D0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.263;
T_37.262 ;
%ix/load 1, 1, 0;
%mov 4, 0, 1;
%jmp/1 T_37.264, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.265;
T_37.264 ;
%mov 8, 2, 1;
7942,19 → 8044,19
%jmp/0xz T_37.266, 8;
%movi 8, 8, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 1, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 57, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.267;
T_37.266 ;
%ix/load 1, 2, 0;
%mov 4, 0, 1;
%jmp/1 T_37.268, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.269;
T_37.268 ;
%mov 8, 2, 1;
7963,19 → 8065,19
%jmp/0xz T_37.270, 8;
%movi 8, 9, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 2, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 57, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.271;
T_37.270 ;
%ix/load 1, 3, 0;
%mov 4, 0, 1;
%jmp/1 T_37.272, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.273;
T_37.272 ;
%mov 8, 2, 1;
7984,19 → 8086,19
%jmp/0xz T_37.274, 8;
%movi 8, 11, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 3, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 57, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.275;
T_37.274 ;
%ix/load 1, 4, 0;
%mov 4, 0, 1;
%jmp/1 T_37.276, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.277;
T_37.276 ;
%mov 8, 2, 1;
8005,19 → 8107,19
%jmp/0xz T_37.278, 8;
%movi 8, 1, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 4, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.279;
T_37.278 ;
%ix/load 1, 5, 0;
%mov 4, 0, 1;
%jmp/1 T_37.280, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.281;
T_37.280 ;
%mov 8, 2, 1;
8026,19 → 8128,19
%jmp/0xz T_37.282, 8;
%movi 8, 2, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 5, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.283;
T_37.282 ;
%ix/load 1, 6, 0;
%mov 4, 0, 1;
%jmp/1 T_37.284, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.285;
T_37.284 ;
%mov 8, 2, 1;
8045,7 → 8147,7
T_37.285 ;
; Save base=8 wid=1 in lookaside.
%jmp/0xz T_37.286, 8;
%load/v 8, v00A07CA8_0, 1;
%load/v 8, v00AE66F8_0, 1;
%jmp/0 T_37.288, 8;
%movi 9, 3, 4;
%jmp/1 T_37.290, 8;
8059,19 → 8161,19
%mov 9, 13, 4; Return false value
T_37.290 ;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 9;
%assign/v0 v00AE7178_0, 0, 9;
%ix/load 0, 1, 0;
%ix/load 1, 6, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.287;
T_37.286 ;
%ix/load 1, 7, 0;
%mov 4, 0, 1;
%jmp/1 T_37.291, 4;
%load/x1p 8, v00A08780_0, 1;
%load/x1p 8, v00AE71D0_0, 1;
%jmp T_37.292;
T_37.291 ;
%mov 8, 2, 1;
8080,13 → 8182,13
%jmp/0xz T_37.293, 8;
%movi 8, 5, 4;
%ix/load 0, 4, 0;
%assign/v0 v00A08728_0, 0, 8;
%assign/v0 v00AE7178_0, 0, 8;
%ix/load 0, 1, 0;
%ix/load 1, 7, 0;
%assign/v0/x1 v00A08780_0, 0, 0;
%assign/v0/x1 v00AE71D0_0, 0, 0;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.293 ;
T_37.287 ;
T_37.283 ;
8098,55 → 8200,55
T_37.259 ;
%jmp T_37.90;
T_37.70 ;
%load/v 8, v00A091D0_0, 8; Only need 8 of 16 bits
%load/v 8, v00AE7C20_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00A07E60_0, 0, 8;
%assign/v0 v00AE68B0_0, 0, 8;
%movi 8, 35, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A07F10_0, 0, 1;
%load/v 8, v00A092D8_0, 16;
%assign/v0 v00AE6960_0, 0, 1;
%load/v 8, v00AE7D28_0, 16;
%subi 8, 1, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A07F68_0, 0, 1;
%assign/v0 v00AE69B8_0, 0, 1;
%jmp T_37.90;
T_37.71 ;
%load/v 8, v00A08728_0, 4;
%load/v 8, v00AE7178_0, 4;
%cmpi/u 8, 8, 4;
%jmp/0xz T_37.295, 5;
%movi 8, 36, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.296;
T_37.295 ;
%load/v 8, v00A08780_0, 4; Select 4 out of 8 bits
%load/v 8, v00AE71D0_0, 4; Select 4 out of 8 bits
%mov 12, 0, 1;
%cmp/u 0, 8, 5;
%jmp/0xz T_37.297, 5;
%movi 8, 32, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.298;
T_37.297 ;
%load/v 8, v00A08BA0_0, 6;
%load/v 8, v00AE75F0_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.298 ;
T_37.296 ;
%load/v 8, v00A07E08_0, 16;
%load/v 8, v00AE6858_0, 16;
%subi 8, 1, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%jmp T_37.90;
T_37.72 ;
%ix/load 1, 8, 0;
%mov 4, 0, 1;
%jmp/1 T_37.299, 4;
%load/x1p 8, v00A091D0_0, 8;
%load/x1p 8, v00AE7C20_0, 8;
%jmp T_37.300;
T_37.299 ;
%mov 8, 2, 8;
8153,55 → 8255,55
T_37.300 ;
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00A07E60_0, 0, 8;
%assign/v0 v00AE68B0_0, 0, 8;
%movi 8, 37, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A07F10_0, 0, 1;
%assign/v0 v00AE6960_0, 0, 1;
%movi 8, 8, 4;
%load/v 12, v00A08728_0, 4;
%load/v 12, v00AE7178_0, 4;
%cmp/u 8, 12, 4;
%or 5, 4, 1;
%jmp/0xz T_37.301, 5;
%load/v 8, v00A092D8_0, 16;
%load/v 8, v00AE7D28_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
T_37.301 ;
%ix/load 0, 1, 0;
%assign/v0 v00A07F68_0, 0, 1;
%assign/v0 v00AE69B8_0, 0, 1;
%jmp T_37.90;
T_37.73 ;
%load/v 8, v00A08BF8_0, 6;
%load/v 8, v00AE7648_0, 6;
%mov 14, 0, 3;
%cmpi/u 8, 27, 9;
%jmp/0xz T_37.303, 4;
%ix/load 0, 1, 0;
%assign/v0 v00A08A40_0, 0, 1;
%assign/v0 v00AE7490_0, 0, 1;
T_37.303 ;
%load/v 8, v00A08BF8_0, 6;
%load/v 8, v00AE7648_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.74 ;
%load/v 8, v00A09228_0, 16;
%load/v 8, v00AE7C78_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%movi 8, 49, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08120_0, 0, 1;
%assign/v0 v00AE6B70_0, 0, 1;
%jmp T_37.90;
T_37.75 ;
%ix/load 0, 1, 0;
%assign/v0 v00A07EB8_0, 0, 1;
%assign/v0 v00AE6908_0, 0, 1;
%movi 8, 50, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.76 ;
%load/v 8, v00A07B48_0, 3;
%load/v 8, v00AE6598_0, 3;
%cmpi/u 8, 7, 3;
%jmp/1 T_37.305, 6;
%cmpi/u 8, 1, 3;
8212,49 → 8314,49
%jmp/1 T_37.308, 6;
%jmp T_37.309;
T_37.305 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08360_0, 0, 8;
%assign/v0 v00AE6DB0_0, 0, 8;
%jmp T_37.309;
T_37.306 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08360_0, 0, 8;
%assign/v0 v00AE6DB0_0, 0, 8;
%jmp T_37.309;
T_37.307 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 8;
%assign/v0 v00AE6A10_0, 0, 8;
%jmp T_37.309;
T_37.308 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08518_0, 0, 8;
%assign/v0 v00AE6F68_0, 0, 8;
%jmp T_37.309;
T_37.309 ;
%movi 8, 51, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.77 ;
%load/v 8, v00A09228_0, 16;
%load/v 8, v00AE7C78_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%movi 8, 52, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08120_0, 0, 1;
%assign/v0 v00AE6B70_0, 0, 1;
%jmp T_37.90;
T_37.78 ;
%ix/load 0, 1, 0;
%assign/v0 v00A07EB8_0, 0, 1;
%assign/v0 v00AE6908_0, 0, 1;
%movi 8, 53, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.79 ;
%load/v 8, v00A07B48_0, 3;
%load/v 8, v00AE6598_0, 3;
%cmpi/u 8, 0, 3;
%jmp/1 T_37.310, 6;
%cmpi/u 8, 6, 3;
8271,97 → 8373,97
%jmp/1 T_37.316, 6;
%jmp T_37.317;
T_37.310 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08780_0, 0, 8;
%assign/v0 v00AE71D0_0, 0, 8;
%jmp T_37.317;
T_37.311 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A083B8_0, 0, 8;
%assign/v0 v00AE6E08_0, 0, 8;
%jmp T_37.317;
T_37.312 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A083B8_0, 0, 8;
%assign/v0 v00AE6E08_0, 0, 8;
%jmp T_37.317;
T_37.313 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A083B8_0, 0, 8;
%assign/v0 v00AE6E08_0, 0, 8;
%jmp T_37.317;
T_37.314 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%jmp T_37.317;
T_37.315 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%jmp T_37.317;
T_37.316 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08570_0, 0, 8;
%assign/v0 v00AE6FC0_0, 0, 8;
%jmp T_37.317;
T_37.317 ;
%load/v 8, v00A08BF8_0, 6;
%load/v 8, v00AE7648_0, 6;
%mov 14, 0, 3;
%cmpi/u 8, 27, 9;
%mov 8, 4, 1;
%load/v 9, v00A07888_0, 1;
%load/v 9, v00AE62D8_0, 1;
%and 8, 9, 1;
%jmp/0xz T_37.318, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08A40_0, 0, 1;
%assign/v0 v00AE7490_0, 0, 1;
T_37.318 ;
%load/v 8, v00A08BF8_0, 6;
%load/v 8, v00AE7648_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.80 ;
%load/v 8, v00A07B48_0, 3;
%load/v 8, v00AE6598_0, 3;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.320, 6;
%load/v 8, v00A08E60_0, 1;
%load/v 9, v00A08F10_0, 1;
%load/v 8, v00AE78B0_0, 1;
%load/v 9, v00AE7960_0, 1;
%or 8, 9, 1;
%load/v 9, v00A08F68_0, 1;
%load/v 9, v00AE79B8_0, 1;
%or 8, 9, 1;
%jmp/0xz T_37.323, 8;
%load/v 8, v00A092D8_0, 16;
%load/v 8, v00AE7D28_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08178_0, 0, 1;
%assign/v0 v00AE6BC8_0, 0, 1;
%jmp T_37.324;
T_37.323 ;
%load/v 8, v00A08018_0, 8;
%load/v 16, v00A07FC0_0, 8;
%load/v 8, v00AE6A68_0, 8;
%load/v 16, v00AE6A10_0, 8;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
T_37.324 ;
%jmp T_37.322;
T_37.320 ;
%load/v 8, v00A08228_0, 1;
%load/v 8, v00AE6C78_0, 1;
%jmp/0xz T_37.325, 8;
%load/v 8, v00A083B8_0, 8;
%load/v 16, v00A08360_0, 8;
%load/v 8, v00AE6E08_0, 8;
%load/v 16, v00AE6DB0_0, 8;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%jmp T_37.326;
T_37.325 ;
%load/v 8, v00A09178_0, 16;
%load/v 8, v00AE7BC8_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
T_37.326 ;
%jmp T_37.322;
T_37.322 ;
%load/v 8, v00A080C8_0, 1;
%load/v 9, v00A07C50_0, 1;
%load/v 8, v00AE6B18_0, 1;
%load/v 9, v00AE66A0_0, 1;
%or 8, 9, 1;
%load/v 9, v00A08728_0, 4;
%load/v 9, v00AE7178_0, 4;
%cmpi/u 9, 8, 4;
%mov 9, 5, 1;
%or 8, 9, 1;
8368,25 → 8470,25
%jmp/0xz T_37.327, 8;
%movi 8, 55, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.328;
T_37.327 ;
%movi 8, 58, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
T_37.328 ;
%ix/load 0, 1, 0;
%assign/v0 v00A080C8_0, 0, 0;
%assign/v0 v00AE6B18_0, 0, 0;
%jmp T_37.90;
T_37.81 ;
%ix/load 0, 1, 0;
%assign/v0 v00A07EB8_0, 0, 1;
%assign/v0 v00AE6908_0, 0, 1;
%movi 8, 56, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.82 ;
%load/v 8, v00A082D8_0, 2;
%load/v 8, v00AE6D28_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_37.329, 6;
%cmpi/u 8, 1, 2;
8395,64 → 8497,64
%jmp/1 T_37.331, 6;
%jmp T_37.332;
T_37.329 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08360_0, 0, 8;
%assign/v0 v00AE6DB0_0, 0, 8;
%jmp T_37.332;
T_37.330 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08360_0, 0, 8;
%assign/v0 v00AE6DB0_0, 0, 8;
%jmp T_37.332;
T_37.331 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A07FC0_0, 0, 8;
%assign/v0 v00AE6A10_0, 0, 8;
%jmp T_37.332;
T_37.332 ;
%movi 8, 58, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%load/vp0 8, v00A07E08_0, 16;
%load/vp0 8, v00AE6858_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%load/v 8, v00A08E60_0, 1;
%load/v 9, v00A08F10_0, 1;
%assign/v0 v00AE6858_0, 0, 8;
%load/v 8, v00AE78B0_0, 1;
%load/v 9, v00AE7960_0, 1;
%or 8, 9, 1;
%load/v 9, v00A08F68_0, 1;
%load/v 9, v00AE79B8_0, 1;
%or 8, 9, 1;
%jmp/0xz T_37.333, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08178_0, 0, 1;
%assign/v0 v00AE6BC8_0, 0, 1;
T_37.333 ;
%jmp T_37.90;
T_37.83 ;
%load/v 8, v00A08E60_0, 1;
%load/v 9, v00A08F10_0, 1;
%load/v 8, v00AE78B0_0, 1;
%load/v 9, v00AE7960_0, 1;
%or 8, 9, 1;
%load/v 9, v00A08F68_0, 1;
%load/v 9, v00AE79B8_0, 1;
%or 8, 9, 1;
%jmp/0xz T_37.335, 8;
%load/v 8, v00A092D8_0, 16;
%load/v 8, v00AE7D28_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08178_0, 0, 1;
%assign/v0 v00AE6BC8_0, 0, 1;
T_37.335 ;
%movi 8, 58, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.84 ;
%ix/load 0, 1, 0;
%assign/v0 v00A07EB8_0, 0, 1;
%assign/v0 v00AE6908_0, 0, 1;
%movi 8, 59, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.85 ;
%load/v 8, v00A082D8_0, 2;
%load/v 8, v00AE6D28_0, 2;
%cmpi/u 8, 0, 2;
%jmp/1 T_37.337, 6;
%cmpi/u 8, 1, 2;
8461,83 → 8563,83
%jmp/1 T_37.339, 6;
%jmp T_37.340;
T_37.337 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A083B8_0, 0, 8;
%assign/v0 v00AE6E08_0, 0, 8;
%jmp T_37.340;
T_37.338 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A083B8_0, 0, 8;
%assign/v0 v00AE6E08_0, 0, 8;
%jmp T_37.340;
T_37.339 ;
%load/v 8, v00A07120_0, 8;
%load/v 8, v00AE5B70_0, 8;
%ix/load 0, 8, 0;
%assign/v0 v00A08018_0, 0, 8;
%assign/v0 v00AE6A68_0, 0, 8;
%jmp T_37.340;
T_37.340 ;
%load/v 8, v00A08E60_0, 1;
%load/v 9, v00A08F10_0, 1;
%load/v 8, v00AE78B0_0, 1;
%load/v 9, v00AE7960_0, 1;
%or 8, 9, 1;
%load/v 9, v00A08F68_0, 1;
%load/v 9, v00AE79B8_0, 1;
%or 8, 9, 1;
%jmp/0xz T_37.341, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08990_0, 0, 1;
%assign/v0 v00AE73E0_0, 0, 1;
T_37.341 ;
%load/v 8, v00A08B48_0, 6;
%load/v 8, v00AE7598_0, 6;
%mov 14, 0, 3;
%cmpi/u 8, 8, 9;
%jmp/0xz T_37.343, 4;
%ix/load 0, 1, 0;
%assign/v0 v00A08A40_0, 0, 1;
%assign/v0 v00AE7490_0, 0, 1;
T_37.343 ;
%load/v 8, v00A07B48_0, 3;
%load/v 8, v00AE6598_0, 3;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.345, 6;
%load/v 8, v00A08B48_0, 6;
%load/v 8, v00AE7598_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.347;
T_37.345 ;
%load/v 8, v00A08228_0, 1;
%load/v 9, v00A07938_0, 1;
%load/v 8, v00AE6C78_0, 1;
%load/v 9, v00AE6388_0, 1;
%inv 9, 1;
%or 8, 9, 1;
%jmp/0xz T_37.348, 8;
%load/v 8, v00A08B48_0, 6;
%load/v 8, v00AE7598_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.349;
T_37.348 ;
%movi 8, 54, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A08228_0, 0, 1;
%assign/v0 v00AE6C78_0, 0, 1;
T_37.349 ;
%jmp T_37.347;
T_37.347 ;
%jmp T_37.90;
T_37.86 ;
%load/v 8, v00A07B48_0, 3;
%load/v 8, v00AE6598_0, 3;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.350, 6;
%load/v 8, v00A08018_0, 8;
%load/v 16, v00A07FC0_0, 8;
%load/v 8, v00AE6A68_0, 8;
%load/v 16, v00AE6A10_0, 8;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%jmp T_37.352;
T_37.350 ;
%load/v 8, v00A09178_0, 16;
%load/v 8, v00AE7BC8_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%jmp T_37.352;
T_37.352 ;
%ix/load 1, 8, 0;
%mov 4, 0, 1;
%jmp/1 T_37.353, 4;
%load/x1p 8, v00A07570_0, 8;
%load/x1p 8, v00AE5FC0_0, 8;
%jmp T_37.354;
T_37.353 ;
%mov 8, 2, 8;
8544,107 → 8646,107
T_37.354 ;
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00A07E60_0, 0, 8;
%assign/v0 v00AE68B0_0, 0, 8;
%movi 8, 61, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%assign/v0 v00A07F10_0, 0, 1;
%assign/v0 v00AE6960_0, 0, 1;
%jmp T_37.90;
T_37.87 ;
%movi 8, 62, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%ix/load 0, 1, 0;
%load/vp0 8, v00A07E08_0, 16;
%load/vp0 8, v00AE6858_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%jmp T_37.90;
T_37.88 ;
%load/v 8, v00A07830_0, 1;
%load/v 8, v00AE6280_0, 1;
%inv 8, 1;
%jmp/0xz T_37.355, 8;
%load/v 8, v00A07B48_0, 3;
%load/v 8, v00AE6598_0, 3;
%cmpi/u 8, 4, 3;
%jmp/1 T_37.357, 6;
%load/v 8, v00A08018_0, 8;
%load/v 16, v00A07FC0_0, 8;
%load/v 8, v00AE6A68_0, 8;
%load/v 16, v00AE6A10_0, 8;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%jmp T_37.359;
T_37.357 ;
%load/v 8, v00A09178_0, 16;
%load/v 8, v00AE7BC8_0, 16;
%ix/load 0, 16, 0;
%assign/v0 v00A07E08_0, 0, 8;
%assign/v0 v00AE6858_0, 0, 8;
%jmp T_37.359;
T_37.359 ;
T_37.355 ;
%load/v 8, v00A07570_0, 8; Only need 8 of 16 bits
%load/v 8, v00AE5FC0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
%ix/load 0, 8, 0;
%assign/v0 v00A07E60_0, 0, 8;
%assign/v0 v00AE68B0_0, 0, 8;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 1;
%assign/v0 v00AE8DB0_0, 0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A07F10_0, 0, 1;
%assign/v0 v00AE6960_0, 0, 1;
%jmp T_37.90;
T_37.89 ;
%load/v 8, v00A07A98_0, 1;
%load/v 8, v00AE64E8_0, 1;
%ix/load 0, 1, 0;
%assign/v0 v00A08A98_0, 0, 8;
%load/v 8, v00A08B48_0, 6;
%assign/v0 v00AE74E8_0, 0, 8;
%load/v 8, v00AE7598_0, 6;
%ix/load 0, 6, 0;
%assign/v0 v00A0A360_0, 0, 8;
%assign/v0 v00AE8DB0_0, 0, 8;
%jmp T_37.90;
T_37.90 ;
T_37.1 ;
%jmp T_37;
.thread T_37;
.scope S_00832ED8;
.scope S_00912850;
T_38 ;
%set/v v00A07EB8_0, 0, 1;
%set/v v00A07F10_0, 0, 1;
%set/v v00A082D8_0, 0, 2;
%set/v v00A08468_0, 1, 16;
%set/v v00A08AF0_0, 0, 1;
%set/v v00A089E8_0, 0, 1;
%set/v v00A08410_0, 0, 1;
%set/v v00A08990_0, 0, 1;
%set/v v00A08228_0, 0, 1;
%set/v v00AE6908_0, 0, 1;
%set/v v00AE6960_0, 0, 1;
%set/v v00AE6D28_0, 0, 2;
%set/v v00AE6EB8_0, 1, 16;
%set/v v00AE7540_0, 0, 1;
%set/v v00AE7438_0, 0, 1;
%set/v v00AE6E60_0, 0, 1;
%set/v v00AE73E0_0, 0, 1;
%set/v v00AE6C78_0, 0, 1;
%end;
.thread T_38;
.scope S_00832F60;
.scope S_009128D8;
T_39 ;
%wait E_00822FE0;
%ix/getv 3, v008560C0_0;
%load/av 8, v00856220, 8;
%wait E_00901778;
%ix/getv 3, v00935B10_0;
%load/av 8, v00935C70, 8;
%ix/load 0, 8, 0;
%assign/v0 v008561C8_0, 0, 8;
%assign/v0 v00935C18_0, 0, 8;
%jmp T_39;
.thread T_39;
.scope S_00832F60;
.scope S_009128D8;
T_40 ;
%wait E_00822AC0;
%load/v 8, v00856118_0, 8;
%ix/getv 3, v008560C0_0;
%wait E_00901258;
%load/v 8, v00935B68_0, 8;
%ix/getv 3, v00935B10_0;
%jmp/1 t_0, 4;
%ix/load 0, 8, 0; word width
%ix/load 1, 0, 0; part off
%assign/av v00856220, 0, 8;
%assign/av v00935C70, 0, 8;
t_0 ;
%vpi_call 2 73 "$display", "W %04x = %02x %t", v008560C0_0, v00856118_0, $time;
%vpi_call 2 73 "$display", "W %04x = %02x %t", v00935B10_0, v00935B68_0, $time;
%jmp T_40;
.thread T_40;
.scope S_00832F60;
.scope S_009128D8;
T_41 ;
%wait E_00822FE0;
%load/v 8, v008560C0_0, 16;
%wait E_00901778;
%load/v 8, v00935B10_0, 16;
%cmpi/u 8, 3, 16;
%jmp/0xz T_41.0, 4;
%vpi_call 2 80 "$display", "*** Error ***";
%vpi_call 2 81 "$finish";
T_41.0 ;
%load/v 8, v008560C0_0, 16;
%load/v 8, v00935B10_0, 16;
%cmpi/u 8, 1243, 16;
%jmp/0xz T_41.2, 4;
%vpi_call 2 85 "$display", "\000";
8655,37 → 8757,37
%vpi_call 2 90 "$display", "*** All tests OOOO K K ***";
%vpi_call 2 91 "$finish";
T_41.2 ;
%vpi_call 2 93 "$display", "R %04x = %02x %t", v008560C0_0, &A<v00856220, v008560C0_0 >, $time;
%vpi_call 2 93 "$display", "R %04x = %02x %t", v00935B10_0, &A<v00935C70, v00935B10_0 >, $time;
%jmp T_41;
.thread T_41;
.scope S_00832F60;
.scope S_009128D8;
T_42 ;
%vpi_call 2 99 "$readmemh", "test09.hex", v00856220;
%vpi_call 2 99 "$readmemh", "test09.hex", v00935C70;
%vpi_call 2 100 "$display", "test09.hex read";
%ix/load 1, 0, 0;
%ix/load 3, 65534, 0;
%set/av v00856220, 0, 8;
%set/av v00935C70, 0, 8;
%ix/load 1, 0, 0;
%ix/load 3, 65535, 0;
%set/av v00856220, 0, 8;
%set/av v00935C70, 0, 8;
%end;
.thread T_42;
.scope S_008334B0;
.scope S_00912E28;
T_43 ;
%delay 5, 0;
%load/v 8, v00A0A468_0, 1;
%load/v 8, v00AE8EB8_0, 1;
%inv 8, 1;
%set/v v00A0A468_0, 8, 1;
%set/v v00AE8EB8_0, 8, 1;
%jmp T_43;
.thread T_43;
.scope S_008334B0;
.scope S_00912E28;
T_44 ;
%vpi_call 2 37 "$dumpvars";
%set/v v00A0A468_0, 0, 1;
%set/v v00A0A620_0, 1, 1;
%set/v v00AE8EB8_0, 0, 1;
%set/v v00AE9070_0, 1, 1;
%delay 0, 0;
%delay 46, 0;
%set/v v00A0A620_0, 0, 1;
%set/v v00AE9070_0, 0, 1;
%delay 111500, 0;
%vpi_call 2 44 "$finish";
%end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.