OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 216 to Rev 215
    Reverse comparison

Rev 216 → Rev 215

/System09/trunk/rtl/Verilog/rgb2hdmi_encode.v
22,8 → 22,7
 
////////////////////////////////////////////////////////////////////////
wire clk_TMDS, DCM_TMDS_CLKFX; // 25MHz x 10 = 250MHz
wire clk_CLK0;
DCM_SP #(.CLKFX_MULTIPLY(10)) DCM_TMDS_inst(.CLKIN(pixclk), .CLKFX(DCM_TMDS_CLKFX), .CLK0(clk_CLK0), .RST(1'b0));
DCM_SP #(.CLKFX_MULTIPLY(10)) DCM_TMDS_inst(.CLKIN(pixclk), .CLKFX(DCM_TMDS_CLKFX), .RST(1'b0));
BUFG BUFG_TMDSp(.I(DCM_TMDS_CLKFX), .O(clk_TMDS));
 
////////////////////////////////////////////////////////////////////////

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.