OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /System09/trunk
    from Rev 169 to Rev 168
    Reverse comparison

Rev 169 → Rev 168

/rtl/System09_Digilent_Atlys/system09.vhd
468,8 → 468,7
end component;
 
begin
 
clk_i <= CLKA;
 
-----------------------------------------------------------------------------
-- Instantiation of internal components
-----------------------------------------------------------------------------
770,7 → 769,7
--
my_switch_assignments : process( rst_i, SW2_N)
begin
rst_i <= SW2_N;
rst_i <= not SW2_N;
cpu_reset <= rst_i;
end process;
 
816,14 → 815,14
 
status_leds : process( rst_i, cpu_reset)
begin
S(0) <= cpu_reset;
S(1) <= countL(23);
S(2) <= RS232_RXD;
S(3) <= txd;
S(4) <= Clk25;
S(5) <= '0';
S(6) <= '0';
S(7) <= '0';
S(7) <= rst_i;
S(6) <= cpu_reset;
S(2) <= countL(23);
S(3) <= countL(22);
S(4) <= countL(21);
S(5) <= countL(20);
S(1) <= '1'; -- countL(19);
S(0) <= '0'; -- countL(18);
--S(7 downto 4) <= "0000";
end process;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.