URL
https://opencores.org/ocsvn/System09/System09/trunk
Subversion Repositories System09
Compare Revisions
- This comparison shows the changes necessary to convert path
/System09
- from Rev 193 to Rev 194
- ↔ Reverse comparison
Rev 193 → Rev 194
/trunk/rtl/System09_Digilent_Atlys/system09.vhd
237,7 → 237,7
signal rst_i : std_logic; -- internal reset signal |
signal clk_i : std_logic; -- internal master clock signal |
|
signal CountL : std_logic_vector(23 downto 0); |
signal CountL : std_logic_vector(24 downto 0); |
signal clk_count : natural range 0 to CPU_CLK_DIV; |
signal Clk25 : std_logic; |
|
480,7 → 480,7
-- |
my_switch_assignments : process( rst_i, RESET) |
begin |
rst_i <= RESET; |
rst_i <= not RESET; |
cpu_reset <= rst_i; |
end process; |
|
578,7 → 578,7
CTS_n <= RS232_CTS; |
DCD_n <= '0'; |
RS232_TXD <= TXD; |
RS232_RTS <= RTS_n; |
RS232_RTS <= not RTS_n; |
end process; |
|
my_ACIA_Clock : ACIA_Clock |
800,7 → 800,7
my_led_flasher: process( clk_i, rst_i, CountL ) |
begin |
if rst_i = '1' then |
CountL <= "000000000000000000000000"; |
CountL <= "0000000000000000000000000"; |
elsif rising_edge(clk_i) then |
CountL <= CountL + 1; |
end if; |
809,8 → 809,8
status_leds : process( rst_i, cpu_reset, cpu_addr, NMI, cpu_data_in, cpu_rw, CountL, sw) |
begin |
S(7) <= '0'; |
S(6) <= CountL(23); |
S(5) <= cpu_rw; |
S(6) <= CountL(24); |
S(5) <= cpu_reset; |
S(4) <= NMI; |
case sw is |
when "000" => |