OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 103 to Rev 104
    Reverse comparison

Rev 103 → Rev 104

/System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.dhp
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$06;5=6?2.Yi{g|inl9$4(6=';98#?/$0f8*+ZTxhxmc<Scicq[wij:bUi8#xgd308*+ZTxhxmc<Scicq[wij:bUi8#xgd,035=453'$W_}o}t`l1XfnfzVxda?ePb5,ula+58839<6 !\Rrbvqgi:Uanu`o_smn[vjnkl$}di<:;/,_Wugu|hd9Pfkvm`r\vjkX{echi#xgd,0303423'$W_}o}t`l1Xnc~ehzT~bcPsmk`a+pol$8;8:?7;/,_Wugu|hd9Pob2j]a0+pol8n0"#R\p`pwek4[zhg9gRl;.wjg)764:8n0"#R\p`pwek4[zhg9gRl;.wjg)764;;;0"#R\p`pwek4[{py;5o;w_smn6nYe<'|ch?84./^Ptdtsig8Wt}?9c7{[wij:bUi8#xgd,0125413'$W_}o}t`l1Xvt80h>tR|`m3i\f1(q`m'9>;?=5:,-XVvfz}ke>Q}vs1;aqbYe=qUyc`<d_c6-rmb5i2$%P^~nrucm6Yu~{93iyjQm5y]qkh4lWk>%zej"22:;6d=)&UY{mznn3^p}v6>j|mTn8vPrno1oZd3&bo!?=79338*+ZTxhxmc<Ssxq3=gs`W{ef>fQm4/tk`70<&'VX|l|{ao0_w|u71klSab2j]a0+pol$8;98<9;/,_Wugu|hd9P~w|08`vcZthe;aTn9 yhe/14006=2$%P[FKL]C@MDY10<:%zej>a:,-XSNCDUKHELQ9842-rmb*919==l5!.]TK@IZFK@KT:5;?.wjg)4>4?8<0"#RYHEN_EFOFWJfdof yhe3a?+([^ANGPLMFA^Aokfm)~an&>?7:1c9-*YPOLEVJODOPCmm`o+pol$895;?<;/,_RMBKTHIBMR\W.wjg5==)&U\CHARNCHC\V](q`m':498>8:,-XSNCDUKHELQ]X/tk`(7?<>;87# SVIFOXDENIV^S"{fk199-*YPOLEVJODOPTY,ula+589;:46 !\WJGHYGDAHU_T#xgd,0347723'$WZEJC\ckw[csikz$}di?n;/,_RMBKTkcSk{acr,ula+5;9=:m6 !\WJGHYdn|Vl~bn}!vif.666?981%"QXGDM^`tp6>&bo=;5!.]TK@IZdx|:2"{fk-0;1740<&'V]DIBScqw3=+pol$;2>9?=;/,_RMBKTmk~lc!vif23>()T_BO@Qjntscn*snc%83=><94./^ULAJ[lh~ym` yhe/2=356<2$%P[FKL]if}gifzm$}di?6;/,_RMBKTbornbo}d/tk`(45?:;27# SVIFOXnc~jfkyh#xgd,01317?3'$WZEJC\qq1[nc~jfkyh#xgd0f8*+ZQ@MFW|~<Pkd{akdtc&bo!?<740f8*+ZQ@MFW|~<Pkd{akdtc&bo!?<75038*+ZQ@MFWxdcjr/tk`40<&'V]DIBSthofv+pol$;2?<?9;/,_RMBKT}cfi yhe/2=64682$%P[FKL]vqeu(q`m;>7# SVIFOXqtfx'|ch <?1136?+([^ANGPy|np/tk`(4798;;7# SVIFOXsbr0'|ch<;4./^ULAJ[~m3"{fk-300243<&'V]DIBSvew;*snc%;88;l5>213113710h1:>=8=42;05d=6:8235;::3`92676?=0<28l5>232;1<109h1:>?>763:42d=6:;:3:?664`92676?>:9>?l5>232;2210=11J~nfAalga?Dtd`Fhainz|9:@okfmSqyo27NABMHVWAA1<K[OJXHJ7;BmntLht|h1Nbyg{yHlppd=Bf}cuCobec9EmicCmyoeh~64FhnfJdkbj2Lb`hAmjMkpp==Aaeo[myfn;GkoaVcu~lyj7D`lltffKgl?3@dxxCobe79OKDBBL11Gmcizafga?IoezhxrBlcj109OmgtfzpYmykbeovp<>Kb{zkli~l4P`ahebcIido27]o}sdVji`2<Xhx56_zamkem`t13Zoyzh}>c:Qzwqcj80UIymgEE]A1(^489$}di<>;R{pp`k71VH~~nfJD^@6)]578'|ch <<6502?Vt|lg;5RLzrbjF@ZD2%Q9;<#xgd,0020d<\lyZhm{osqa?QiwN`fn@d}{8:WmmqHfeln0Y~k\t`vfi`hs{k1]Obcoogmpv7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0<PmhTEi??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm=>gdahU=48>j;`ajeZ0?=9':49?n;`ajeZekgjam7lmfa^aokfm*:::?;6oli`]q|f=fk`kT~u#>8404?deniV~so6oli`]w|(478>90mabk;`vwvZdrnoUym`?=;`vwvZdrnoUym`#=254;?goilHxhdl5miofFjqosqk1iecj_abibc``<j`do\lmdafgGafj>3kcSk{acrg8flrXn|dh <<12g8flrXn|dh <<1568fp``l2icmQmugd\vdk6:2icmQmugd\vdk*:;>>46mgas]qkhb<kakySab-32110=dx|:2m6mu1;.5<4?m2i{yRmck^`vbccu9:1h|xQllj]aqc`bz$884894d`v\vdkd3mkSob-0;5<1=nmloh7d`lltff)4>40j1bbnbzdd/2<1>d3`dh`xjj-0;11f=nfjf~hh#>921`?lhdd|nn!<794b9jjfjrll'9<==l;hl`hpbb%;::>n5fnbnv``+58;:h7d`lltff)764<j1bbnbzdd/141>d3`dh`xjj-3263f=nfjf~hh#=22:`?lhdd|nn!?<92b9jjfjrll'9>:;l;hl`hpbb%;83:n5fnbnv``+5:0=h7d`lltff)7571j1bbnbzdd/1730d3`dh`xjj-31:4==lmphdmjk;jgzfjgul$894=o4kd{neuYugdl0ghwbaq]qkh+58<8<7`aa_smng>khfVxda <?60a8ijhXzfg&>?89c:oljZthe$885964mx]bglg7l2grSlmfa1/1721b3dsTmngn0^aokfm6;2grSlmfa1]`hjel%;9<555by^c`md7c3dsTmngn1,003=c<epUjodo>_bnlgn743dsTmngn1^aokfm*::2;:6cv_brvf>k~Wjz~!?=8479n}Zbf|k1fuRjnt,0033g<epU`itQbaqd8i|YlmpUfm}#=281a?hXclsicl|k119n}Zmbqkej~i#=3932=>k~Wyy9Sfkvbncq`Zoi|lxmmnk>e:oz[uu5Wbornbo}d^kmp`taijo&>?6=7:oz[wgj8j1fuR|nm1/1471d3dsT~lc?-32752=jqVxja<m4mx]qeh7*:983o6cv_scn5(47<;=0atQ}al0`?hXzhg9!?>=9b9n}Ztfe;'9<9=8;l{\vdk4k2grSob3,0375e<epUym`="21672>k~W{efn6cv_smn)750=11fuRzfmdpg?hX|`gn~ <<8258i|Yszhzh7`wPtscs)75?<?1fuRxkuc9n}Zpc}$884?l4ntofvleX{}n37bleIoff|40<xz8Tghwmo`pg[lhsm{ljoh?m;qq1[nc~jfkyhRgatdpeefc*:;3::6|nm^0hf>tfeV8`!?><999qehd3Wz2?7ab089qkh6*:9<856|`m1/163>>3{ef< <<9768vjk612xda<#=076:?wij9$89:474rno2)75>?=1yc`<6;smn6(47><30~bc=-3044<=ugd8&>>774:pli6?<zfg8!?>9689qkh5*:;=:56|`m2/17<?43{~g46|{l,3;07><z}f&=5;<8:pwh(7>:020~yb"1814<>tsd$;2:464run.656?02x` <?27:8vqj*:9>;46|{l,0316><z}f&>=8=8:pwh(45<:20~yb"2344<>tsd$894<64run.67?502x` <<04:8vqj*::=846|{l,00=03<zqnnzl5}xegu)4>3;j1xob~jAsakKgld3zid|hHfldManf=tkfzn@d`jNto5?vojxeon7~zk_mmdmfY69?>m7~zk_mmdmfYfz`~c=?5|te]okbodW|dxej`jd99p}vrbe93o7~w|tdo3=(44?;?0xdcjr`9wmhcu%838:95{r`r:?qtfx$8;=874tscs[dtd`l1~l~Pasak)766><1tikya:v{``p*91>?:6{airkn<>stm$;3?464urg.5=2702xi ?748:8qvc*91?;46{|e,3;14><}zo&=4<98:wpa(7>:>20y~k"1817<>stm$;2?864urg.5<0202xi ?667:8qvc*90<<46{|e,0341><}zo&>=>:8:wpa(478?20y~k"2130<>stm$8;=964urg.654602xi <?23:8qvc*:98846{|e,0361><}zo&>==:8:wpa(47;?20y~k"2114<>stm$8;?564urg.652>02xi <?51:8qvc*:9?:46{|e,031=><}zo&>=;68:wpa(47>920y~k"231:<>stm$898=64urg.672602xi <=62:8qvc*:;<?46{|e,0120><}zo&>?998:wpa(45?>20y~k"235;<>stm$89;464urg.67>002xi <=89:8qvc*:;2246{|e,01=5><}zo&>?778:wpa(451020y~k"2223<>stm$88<<64urg.666502xi <<02:8qvc*::;;46{|e,0054><}zo&>>?=8:wpa(44>>20y~k"224;<>stm$88:464urg.661702xi <<70:8qvc*::3:46{|e,00=7><}zo&>>7<b:t`khvhfld95ydt::?sbr0$898?m4vew\gmguW{ef=<5ydt]`ldtXzfg&>?:;e:tdeZekcVh~jkk}129ucdYddbUiykhjr,00<32<fx`qMN4368DE~a;3L1854>{R66>c5=93;8>>h<b;3;=2`|f?>1=6`95;68 35=>;1v_9:5f282>455;o9i6?:n879P15<a;3;1=><<f2a925`13Z>?6k=51;3066`4k3i2nh5\518e7?7=9:88j>m5c`01?V232o91=7?<22d0g?efn?1X9=4i3;395644n:i1=o;:3:Q70?`4280:??=i3b8171`53Z?;6k=51;3066`4l38>::h4S569b6<62899?k=k:3cgbg=T=90m?7?51200b6c=;9o<7^:;:g195?74::l8i7?=0c58W06=n:0:6<==3g1e>a70n2Y?87h<:082775a;o0:;<<m;R73>c5=93;8>>h;6;3;gd5<[=>1j>4>:0117c212;:m=>5\518e7?7=9:88j98521d6<>U3<3l86<4>331e03<5?:om7^;?:g195?74::l?j7?m0g78W12=n:0:6<==3g6e>71e1j1X9=4i3;395644n<;1ilj8;R67>c5=93;8>>h:1;g`2`=T=90m?7?51200b07=mjni7^:;:g195?74::l>=7?ned48W06=n:0:6<==413`>475k11X894i3;39564388i1=<:nb:Q64?`4280:??:?7982a=2?3Z>?6k=51;306160038>o<<4S429b6<628998=96:30ff3=T<=0m?7?5120742?=:j>846]:0;d0>4<6;;>;;44=c`g`?V232o91=7?<2524=?4dj=?0_8>5f282>455<9=j6?7i499P01<a;3;1=><;06c96de502Y><7h<:0827727?j09i57?;R67>c5=93;8>9>8c;0f<ce<[<:1j>4>:01105e>2o3;46];4;d0>4<6;;>;o44i9`78W06=n:0:6<==41f6>743;11X894i3;3956438m?1>?8k3:Q64?`4280:??:>2e82ag503Z>?6k=51;306175l3;mon?4S429b6<628998<<k:305<a=T<=0m?7?5120757b=:;<on6]:0;d0>4<6;;<>ji4>8bg;?V232o91=7?<277e`?7?ko<0_8>5f282>455>?>h6?>6ae9P01<a;3;1=><965a965?a12Y><7h<:0827701<j09<i8n;R67>c5=93;8>;8;c;03a17<[<:1j>4>:011232d2;:n9<5\458e7?7=9:8=:9m521g6<>U283l86<4>3345g6<?>l90_9:5f282>455>?i8658i3:Q64?`4280:??87b1816dc33Z>?6k=51;3063>e8389nl:4S429b6<62899:5l?:30ga1=T<=0m?7?51205<g6=:>h296]:0;d0>4<6;;<3n=4=83a:?V232o91=7?<27:a4?4>m?>0_8>5f282>455>1h;6?o=a39P01<a;3;1=><98c296d4e>2Y><7h<:082770>8j0:><79;R67>c5=93;8>;7?c;315d7<[<:1j>4>:0112<6d288:jh5\458e7?7=9:8=5=m513071>U283l86<4>334:4f<6<<oh7^:;:g195?74:?3;o7?;9dc8W06=n:0:6<==682`>42f0l1X894i3;39564119i1=9ona:Q64?`4280:??860b8230123Z>?6k=51;3063?7k3;<9584dg194?7=9:qX884i3;395644n:h1=578f:l`2??2n2E>i7>4O5`94>pA9<0;6<4>:1yP00<a;3;1=><95gf95=eb02d>=7?4b0494?6==3:pbk<51:l77?7<G<31<6*:4;28 1g=9=1/8n4?;%74>5=#=?0846A;9;28K1b=82Em=7?t}c:7>5<7290;wch=:gdebc`an2d??7<?0:M6=?6<G?:1>vs@5283?xd68;0;6=4?:1ymb7<4;2d??7??0:M6=?6<,<814>5+548e0>"203<n7B9<:19Lb4<6stE?i7?t}|`246<7290;6=uaf3800>h3;3;;<6A:9;28 04=0:1/984l5:&6<?0b3F=86=5@f082xI3m3;pqpl97;294?6=8rdm>7=l;o60>7=H=00;7):7:748K14=82Em=7?t}N6f>4}zukno6=4?:383k`52<30b9=54:M6=?6<,=;1hn5+5e83?!2?2?<0C9850:M73?6<G>81<6Ai1;3xyJ`728qvnhh50;294?6|fo81i?5a428;?J3>291/8k4je:&6b?0f3F=96=5@7083?J`628qvCk>51z~f467290;6=4?{od1>c4<f=9146A:9;28 1`=no1/9k49c:M46?6<G>;1<6Ai1;3xyJ`728qvqpsrvG3a>5<6280;w^:::g195?74:??mh7?7cd:8j07=92h:o7>50;494~ha:3;0b9=51:M6=?6<,<>1<6*;a;3b?!2d291/9:4?;%75>6><G=31<6A;d;28Kc7=9rwi484?:183>5}in;0mjkhifgd8j15=:9:0C8750:M54?5|uF?86=5rb027>5<7290;wch=:3g8j15=99:0C8750:&66?>43-?>6k:4$4:92`=H?:0;7Bh>:0y~K1c=9rwvn<>::183>5<7sgl96?h4n519556<G<31<6*:2;:0?!322j?0(8656d9L36<73Fl:6<urO5g95~{zj8:=6=4?:183k`52::0b9=51128K0?=82.>>76<;%76>c0<,<21:h5@7283?J`628qvC9k51z~f3d=83:1<7>tng0972=i<:097B;6:19'0=<1i2E?>7>4Og395~{H<l0:wpsmdd83>5<729qej?4;c:l77?2<G<31<6*;1;f`?!3c291/8549a:M72?6<G==1<6A82;28Kc7=9rwDj=4>{|~ys@5<3:1=7?50zQ71?`4280:??89c28;2`5<f<;1=6l=5;294?3=8rdm>7?4n5195>I213:0(8:50:&7e?443->h6=5+5683?!312:30C9750:M7`?6<Go;1=vsm8783>5<729qej?4ifgdebc`<f=91>=>4O4;94>I1838pqB;<:19~f460290;6=4?{od1>d1<f=91==>4O4;94>"2:3287);::g68 0>=>l1D;>4?;Nd2>4}zG=o1=vsrb02;>5<7290;wch=:`:8j15=99:0C8750:&66?>43-?>6k84$4:92`=H?:0;7Bh>:0y~K1c=9rwvn:k50;294?6|fo81ml5a4281?J3>291/8548d:M76?6<Go;1=vs@4d82x{elo0;6=4?:1ymb7<ej2d??7:4O4;94>"393nh7);k:19'0=<0l2E?:7>4O5594>I0:3:0Ck?51zLb5<6stwvq{H<0;295?7=8rY?97h<:0827701k:03:h=4n4395>d493:1<7;50zle6?7<f=91=6A:9;28 02=82.?m7<i;%6`>5=#=>0;7);9:2;8K1?=82E?h7>4Og395~{e0>0;6=4?:1ymb7<anolmjkh4n519656<G<31<6A90;0xyJ34291vn<>6:183>5<7sgl96>h4n519556<G<31<6*:2;:0?!322o>0(8656d9L36<73Fl:6<urO5g95~{zj8:j6=4?:183k`52=:0b9=51128K0?=82.>>76<;%76>c0<,<21:h5@7283?J`628qvC9k51z~fcg=83:1<7>tng0907=i<:097B;6:19'0=<a12E?>7>4Og395~{H<l0:wpsme183>5<729qej?4;f:l77?2<G<31<6*;1;f`?!3c291/854i9:M72?6<G==1<6A82;28Kc7=9rwDj=4>{|~ys@5?3:1=7?50zQ71?`4280:??87b1816dc23g?:6<5m2983>5<129qej?4>;o60>4=H=00;7);;:19'0d<5>2.?o7>4$4594>"2>39j7B:6:19L0a<73Fl:6<urb9:94?6=83:pbk<5fgdebc`a3g>86?>?;N7:>5=H>908wpA:3;28yg77j3:1<7>50zle6?5b3g>86<>?;N7:>5=#=;03?6*:5;d7?!3?2?o0C:=50:Me5?7|uF>n6<ur}c33g?6=83:1<v`i2;1e?k2428:;7B;6:19'17<?;2.>97h9;%7;>3c<G>91<6Ai1;3xyJ2b28qvqo??d;294?6=8rdm>7:>;o60>4673F?26=5+538244=#=<0:8=5+5985a>I0;3:0Ck?51zL0`<6stwi4?4?:183>5}in;0??6`;3;08K0?=82.?476>;N61>5=Hn80:wpA;e;3xyxdb93:1<7>50zle6?2a3g>8695@5883?!262mi0(8j50:&7<?>63F>=6=5@4683?J15291Dj<4>{|Me4?7|utwvzK?j:182>4<7sZ>>6k=51;3063>e8389mh;4n4395>d6n3:1<7850zle6?7<f=91=6A:9;28 02=82.?m7?k;%6`>5=#=>0;7);9:2c8K1?=82E?h7>4Og395~{e000;6=4?:1ymb7<anolmjkh4n519656<G<31<6A90;1xyJ34291vn<>j:183>5<7sgl96?74n519556<G<31<6*:2;:0?!322o>0(8656d9L36<73Fl:6<urO5g95~{zj8:m6=4?:183k`52;k0b9=51128K0?=82.>>76<;%76>f3<,<21:h5@7283?J`628qvC9k51z~f477290;6=4?{od1>7d<f=91==>4O4;94>"2:3287);::g48 0>=>l1D;>4?;Nd2>4}zG=o1=vsrb7f94?6=83:pbk<5319m06<53F?26=5+4985g>I3:3:0Ck?51zL0`<6stwii?4?:183>5}in;0?=6`;3;68K0?=82.?=7jl;%7g>5=#<10=o6A;6;28K11=82E<>7>4Og395~{Hn90:wpsr}wD07?6=93;1<v];5;d0>4<6;;<3n=4=2`g6?k36281i?94?:186>5}in;0:7c:<:09L1<<73-??6=5+4`806>"3k3:0(8950:&62?5f3F>26=5@4e83?J`628qvn5o50;294?6|fo81jkhifgde?k242;:;7B;6:19L25<5stE>?7>4}c325?6=83:1<v`i2;10?k2428:;7B;6:19'17<?;2.>97h;;%7;>3c<G>91<6Ai1;3xyJ2b28qvqo?>2;294?6=8rdm>7=;;o60>4673F?26=5+538;7>"2=3l=7);7:7g8K25=82Em=7?t}N6f>4}zuklh6=4?:183k`52:<0b9=52:M6=?6<,=21jo5@4383?J`628qvC9k51z~fcc=83:1<7>tng0901=i<:0?7B;6:19'04<al2.>h7>4$5:9bg=H<?0;7B:8:19L37<73Fl:6<urOg295~{zut|M?7>51;394~U3=3l86<4>334;f5<5:ho>7c;>:09a0?6=83=1<v`i2;38j15=92E>57>4$4694>"3i380(9m50:&63?6<,<<1?l5@4883?J2c291Dj<4>{|`;f?6=83:1<v`i2;debc`ano1e8>4=019L1<<73F<;69urO4194>{e9891<7>50;2xjc4=i2d??7??0:M6=?6<,<814>5+548e0>"203<n7B9<:19Lb4<6stE?i7?t}|`251<7290;6=uaf38a?k2428:;7B;6:19'17<?;2.>97h:;%7;>3c<G>91<6Ai1;3xyJ2b28qvqo?>5;294?6=8rdm>7m4n519556<G<31<6*:2;:0?!322o<0(8656d9L36<73Fl:6<urO5g95~{zj8;=6=4?:183k`52l1e8>4>019L1<<73-?96<>>;%76>4273-?36;k4O6194>Ia93;pqB:j:0y~yg1d290;6=4?{od1>46<f=91>6A:9;28 1>=?k1D8?4?;Nd2>4}zG=o1=vsrbd194?6=<3:pbk<51b9m06<33F?26=5+408gg>"2l3:0(9657c9L03<73F><6=5@7383?J`628qvCk>51zaf7<7290;6=uaf380`>h3;320C8750:&7b?d63-?m6nl4O6094>I093:0Ck?51zLb5<6stwin84?:183>5}in;0>m6`;3;:8K0?=82.?j7l;;%7e>fd<G>81<6A81;28Kc7=9rwDj=4>{|af=<7290;6=uaf3843>h3;320C8750:&7b?d03-?m6nl4O6094>I093:0Ck?51zLb5<6stwino4?:183>5}in;0286`;3;:8K0?=82.?j7ln;%7e>fd<G>81<6A81;28Kc7=9rwDj=4>{|~yxpA13:1=7?50zQ71?`4280:??87b1816dc23g?:6<5ma;294?1=8rdm>7?4n5195>I213:0(8:50:&7e?><,=i1<6*:7;28 00=;h1D844?;N6g>5=Hn80:wpl7c;294?6=8rdm>7hifgdebc=i<:09<=5@5883?J072=qvC8=50:a541=83:1<7>tng09=>h3;3;;<6A:9;28 04=0:1/984i4:&6<?0b3F=86=5@f082xI3m3;pqpl>1983>5<729qej?4n;o60>4673F?26=5+538;7>"2=3l>7);7:7g8K25=82Em=7?t}N6f>4}zuk;:57>50;294~ha:3h0b9=51128K0?=82.>>76<;%76>c0<,<21:h5@7283?J`628qvC9k51z~f47f290;6=4?{od1>a=i<:0:<=5@5883?!3528::7);::738 0>=>l1D;>4?;Nd2>4}zG=o1=vsrbbc94?6=83:pbk<5f:l77?4<G<31<6*;8;a:?J25291Dj<4>{|M7a?7|uthn87>50;694~ha:3;i7c:<:59L1<<73->:6im4$4f94>"303i27B:9:19L02<73F=96=5@f082xIa83;pqol<:183>5<7sgl96>m4n519<>I213:0(9h5b09'1c<dj2E<>7>4O6394>Ia93;pqBh?:0y~ygd1290;6=4?{od1>0?<f=9146A:9;28 1`=j=1/9k4lb:M46?6<G>;1<6Ai1;3xyJ`728qvqol6:183>5<7sgl96:94n519<>I213:0(9h5b69'1c<dj2E<>7>4O6394>Ia93;pqBh?:0y~ygdd290;6=4?{od1><2<f=9146A:9;28 1`=jh1/9k4lb:M46?6<G>;1<6Ai1;3xyJ`728qvqpsrvG494?7=93:p_9;5f282>455>1h;6?<ne49m14<63k=1<7>56;2xjc4=92d??7?4O4;94>"2<3:0(9o55:&7g?6<,<=1<6*:6;1b?J2>291D8i4?;Nd2>4}zj1n1<7>50;2xjc4=nolmjkhi;o60>7673F?26=5@6180xI2;3:0qo?>b;294?6=8rdm>7h4n519556<G<31<6*:2;:0?!322o>0(8656d9L36<73Fl:6<urO5g95~{zj8;h6=4?:183k`528:0b9=51128K0?=82.>>76<;%76>c3<,<21:h5@7283?J`628qvC9k51z~f47c290;6=4?{od1>47<f=91==>4O4;94>"2:3287);::g48 0>=>l1D;>4?;Nd2>4}zG=o1=vsrb8c94?6=83:pbk<5129m06<53F?26=5+498:=>I3:3:0Ck?51zL0`<6stwii84?:183>5}in;0:j6`;3;68K0?=82.?=7jl;%7g>5=#<10256A;6;28K11=82E<>7>4Og395~{Hn90:wpsr}wD26?6=93;1<v];5;d0>4<6;;<3n=4=2`g6?k36281i=>4?:185>5}in;0:7c:<:09L1<<73-??6=5+4`825>"3k3:0(8950:&62?5f3F>26=5@4e83?J`628qvn5k50;294?6|fo81jkhifgde?k242;:;7B;6:19L25<4stE>?7>4}c32a?6=83:1<v`i2;f8j15=99:0C8750:&66?>43-?>6k:4$4:92`=H?:0;7Bh>:0y~K1c=9rwvn<?i:183>5<7sgl96h5a428245=H=00;7);=:918 03=n<1/9549e:M47?6<Go;1=vs@4d82x{e9;:1<7>50;2xjc4=991e8>4>019L1<<73-?96<>>;%76>4273-?36;k4O6194>Ia93;pqB:j:0y~yg?d290;6=4?{od1>44<f=91>6A:9;28 1>=1k1D8?4?;Nd2>4}zG=o1=vsrbd494?6=<3:pbk<51d9m06<33F?26=5+408gg>"2l3:0(9659c9L03<73F><6=5@7383?J`628qvCk>51zaga<7290;6=uaf380b>h3;320C8750:&7b?ed3-?m6nl4O6094>I093:0Ck?51zLb5<6stwih<4?:183>5}in;0>h6`;3;:8K0?=82.?j7j?;%7e>fd<G>81<6A81;28Kc7=9rwDj=4>{|a`0<7290;6=uaf384f>h3;320C8750:&7b?b33-?m6nl4O6094>I093:0Ck?51zLb5<6stwih44?:183>5}in;0256`;3;:8K0?=82.?j7j7;%7e>fd<G>81<6A81;28Kc7=9rwDj=4>{|~yxpA;?0;6<4>:1yP00<a;3;1=><991a9577>>2d>=7?4b2594?6=>3:pbk<51:l77?7<G<31<6*:4;28 1g=;<1/8n4?;%74>5=#=?08n6A;9;28K1b=82Em=7?t}c:e>5<7290;wch=:gdebc`an2d??7<?0:M6=?6<G?:1?vs@5283?xd6:80;6=4?:1ymb7<6>2d??7??0:M6=?6<,<814>5+548e0>"203<n7B9<:19Lb4<6stE?i7?t}|`267<7290;6=uaf3823>h3;3;;<6A:9;28 04=0:1/984l5:&6<?0b3F=86=5@f082xI3m3;pqpl>2283>5<729qej?4>9:l77?7782E>57>4$409557<,<?1=9>4$4:92`=H?:0;7Bh>:0y~K1c=9rwvn<:=:183>5<7sgl96<l4n5196>I213:0(9651538K14=82Em=7?t}N6f>4}zuko<6=4?:283k`52:o0b9=54:M6=?6<,=;1hn5+5e83?!2?28>:7B:9:19L02<73F=96=5@f082xIa83;pqo?;4;294?6=8rdm>7l;;o60>==H=00;7):i:060?!3a2>h0C:<50:M45?6<Go;1=vs@f182x{e?h0;6=4?:1ymb7<d92d??764O4;94>"3n3=27);i:b;8K24=82E<=7>4Og395~{Hn90:wpsm7183>5<729qej?4le:l77?><G<31<6*;f;4e?!3a2j30C:<50:M45?6<Go;1=vs@f182x{zut|Mo7>51;394~U3=3l86<4>334:4f<6:;:i7c;>:09a`?6=83<1<v`i2;38j15=92E>57>4$4694>"3i3h0(9m50:&63?6<,<<1?n5@4883?J2c291Dj<4>{|`:4?6=83:1<v`i2;debc`ano1e8>4=019L1<<73F<;6>urO4194>{e9;>1<7>50;2xjc4=l2d??7??0:M6=?6<,<814>5+548e0>"203<n7B9<:19Lb4<6stE?i7?t}|`260<7290;6=uaf38f?k2428:;7B;6:19'17<?;2.>97h:;%7;>3c<G>91<6Ai1;3xyJ2b28qvqo?=6;294?6=8rdm>7??;o60>4673F?26=5+538244=#=<0:8=5+5985a>I0;3:0Ck?51zL0`<6stwi5i4?:183>5}in;0:>6`;3;08K0?=82.?477m;N61>5=Hn80:wpA;e;3xyxdb03:1<7:50zle6?7b3g>8695@5883?!262mi0(8j50:&7<??e3F>=6=5@4683?J15291Dj<4>{|Me4?7|ukin6=4?:183k`52:l0b9=58:M6=?6<,=l1on5+5g8`f>I0:3:0C:?50:Me5?7|uFl;6<ur}cf1>5<7290;wch=:4f8j15=02E>57>4$5d9`5=#=o0hn6A82;28K27=82Em=7?t}Nd3>4}zukn=6=4?:183k`52>h0b9=58:M6=?6<,=l1h95+5g8`f>I0:3:0C:?50:Me5?7|uFl;6<ur}cfb>5<7290;wch=:8;8j15=02E>57>4$5d9`==#=o0hn6A82;28K27=82Em=7?t}Nd3>4}zutwvzK<n:182>4<7sZ>>6k=51;3063?7k3;?9hm4n4395>d5j3:1<7950zle6?7<f=91=6A:9;28 02=82.?m7<6;%6`>5=#=>0;7);9:2f8K1?=82E?h7>4Og395~{e180;6=4?:1ymb7<anolmjkh4n519656<G<31<6A90;6xyJ34291vn<<8:183>5<7sgl96h5a428245=H=00;7);=:918 03=n=1/9549e:M47?6<Go;1=vs@4d82x{e9;21<7>50;2xjc4=n2d??7??0:M6=?6<,<814>5+548e1>"203<n7B9<:19Lb4<6stE?i7?t}|`26<<7290;6=uaf3824>h3;3;;<6A:9;28 04=0:1/984i6:&6<?0b3F=86=5@f082xI3m3;pqpl>2`83>5<729qej?4>1:l77?7782E>57>4$409<6=#=<0h96*:8;4f?J14291Dj<4>{|M7a?7|uth247>50;294~ha:3;?7c:<:39L1<<73->36494O5094>Ia93;pqB:j:0y~ygc>290;6<4?{od1>71<f=9186A:9;28 17=lj1/9i4?;%6;><1<G=<1<6A;7;28K24=82Em=7?t}Nd3>4}zjk:1<7>50;2xjc4=<l1e8>47;N7:>5=#<o0jj6*:f;a4?J15291D;<4?;Nd2>4}zGo:1=vsr}|uB7b=83;1=7>tS579b6<62899:4>l:066af=i=80:7o<j:183>2<7sgl96<5a4282?J3>291/994?;%6b>7e<,=i1<6*:7;28 00=;m1D844?;N6g>5=Hn80:wpl62;294?6=8rdm>7hifgdebc=i<:09<=5@5883?J072=qvC8=50:a57d=83:1<7>tng093<=i<:0:<=5@5883?!352190(8;5f59'1=<1m2E<?7>4Og395~{H<l0:wpsm13a94?6=83:pbk<57`9m06<6891D944?;%71>=5<,<?1j85+5985a>I0;3:0Ck?51zL0`<6stwi=?j50;294?6|fo81;o5a428245=H=00;7);=:918 03=n?1/9549e:M47?6<Go;1=vs@4d82x{e9;o1<7>50;2xjc4=?j1e8>4>019L1<<73-?965=4$479g0=#=10=i6A83;28Kc7=9rwD8h4>{|ag=<7290;6=uaf384a>h3;380C8750:&7<?e03F>96=5@f082xI3m3;pqplja;294?7=8rdm>776;o60>1=H=00;7):>:ea8 0b=82.?47m8;N65>5=H<>0;7B9=:19Lb4<6stEm<7?t}cc`>5<7290;wch=:036?k24211D944?;%6e>dd<,<l1545@7383?J16291Dj<4>{|Me4?7|utwvq{H>8;295?7=8rY?97h<:082770>8j0:88kl;o72>4=e900;6=46:1ymb7<63g>86<5@5883?!33291/8l4>7:&7g?6<,<=1<6*:6;1g?J2>291D8i4?;Nd2>4}zj091<7>50;2xjc4=nolmjkhi;o60>7673F?26=5@6185xI2;3:0qo?=f;294?6=8rdm>764n519556<G<31<6*:2;:0?!322o>0(8656d9L36<73Fl:6<urO5g95~{zj89;6=4?:183k`5201e8>4>019L1<<73-?965=4$479b0=#=10=i6A83;28Kc7=9rwD8h4>{|a567=83:1<7>tng09e>h3;3;;<6A:9;28 04=0:1/984i6:&6<?0b3F=86=5@f082xI3m3;pqpl>3383>5<729qej?4m;o60>4673F?26=5+538;7>"2=3i>7);7:7g8K25=82Em=7?t}N6f>4}zuk;8?7>50;294~ha:3n0b9=51128K0?=82.>>7??1:&61?7382.>478j;N50>5=Hn80:wpA;e;3xyxd6;=0;6=4?:1ymb7<a3g>86<>?;N7:>5=#=;0:8:5+54841>"203<n7B9<:19Lb4<6stE?i7?t}|`5=?6=83:1<v`i2;32?k242;1D944?;%6;>3><G=81<6Ai1;3xyJ2b28qvqokm:183>5<7sgl96<h4n5190>I213:0(9?5db9'1a<73->36;64O5494>I3?3:0C:<50:Me5?7|uFl;6<ur}|uB77=83;1=7>tS579b6<62899:4>l:066b`=i=80:7o<=:183>3<7sgl96<5a4282?J3>291/994?;%6b>76<,=i1<6*:7;28 00=;l1D844?;N6g>5=Hn80:wpl64;294?6=8rdm>7hifgdebc=i<:09<=5@5883?J072:qvC8=50:a563=83:1<7>tng096>h3;3;;<6A:9;28 04=0:1/984i4:&6<?0b3F=86=5@f082xI3m3;pqpl>3783>5<729qej?4<;o60>4673F?26=5+538;7>"2=3l>7);7:7g8K25=82Em=7?t}N6f>4}zuk;8;7>50;294~ha:3>0b9=51128K0?=82.>>76<;%76>c0<,<21:h5@7283?J`628qvC9k51z~f20=83:1<7>tng092>h3;3>;7B;6:19'10<0=2Em=7?t}N6f>4}zuk=<6=4?:183k`52k1e8>470:M6=?6<,<?1;85@7583?J`628qvqpsyF4`94?7=93:p_9;5f282>455>0:h6<:6089m14<63k?h6=4?:983k`5281e8>4>;N7:>5=#==0;7):n:4c8 1e=82.>;7>4$4497c=H<00;7B:k:19Lb4<6sth297>50;294~ha:3lmjkhifg9m06<5891D944?;N43>0}zG<91<6sm12:94?6=83:pbk<5759m06<6891D944?;%71>=5<,<?1j95+5985a>I0;3:0Ck?51zL0`<6stwi=>750;294?6|fo81;85a428245=H=00;7);=:918 03=n<1/9549e:M47?6<Go;1=vs@4d82x{e9:k1<7>50;2xjc4=??1e8>4>019L1<<73-?965=4$479b3=#=10=i6A83;28Kc7=9rwD8h4>{|a56d=83:1<7>tng0932=i<:0:<=5@5883?!352190(8;5c49'1=<1m2E<?7>4Og395~{H<l0:wpsm12a94?6=83:pbk<5789m06<6891D944?;%71>4663-?>6<:?;%7;>3c<G>91<6Ai1;3xyJ2b28qvqoh7:183>5<7sgl96:l4n5196>I213:0(965f69L07<73Fl:6<urO5g95~{zjli1<7>5d;2xjc4=l:1e8>4;;N7:>5=#<80oo6*:d;28 1>=n>1D8;4?;N64>5=H?;0;7Bh>:0y~Kc6=9rwim54?:183>5}in;09=55a428;?J3>291/8k4n7:&6b?1c3F=96=5@7083?J`628qvCk>51z~fgc=83:1<7>tng0967e<f=9146A:9;28 1`=jm1/9k46b:M46?6<G>;1<6Ai1;3xyJ`728qvqoon:183>5<7sgl96?=m;o60>==H=00;7):i:`;8 0`=081D;?4?;N52>5=Hn80:wpAi0;3xyxdf83:1<7>50zle6?43j2d??764O4;94>"3n33m7);i:748K24=82E<=7>4Og395~{Hn90:wpsma583>5<729qej?4=5e9m06<?3F?26=5+4g8b7>"2n3<=7B9=:19L34<73Fl:6<urOg295~{zjh81<7>50;2xjc4=:>?0b9=58:M6=?6<,=l1m<5+5g85<>I0:3:0C:?50:Me5?7|uFl;6<ur}cc5>5<7290;wch=:35e?k24211D944?;%6e>d3<,<l1:55@7383?J16291Dj<4>{|Me4?7|uthji7>50;294~ha:383j6`;3;:8K0?=82.?j7ok;%7e><1<G>81<6A81;28Kc7=9rwDj=4>{|ag1<7290;6=uaf381e0=i<:037B;6:19'0c<d;2.>j7?;1:M46?6<G>;1<6Ai1;3xyJ`728qvqom?:183>5<7sgl96?ml;o60>==H=00;7):i:cd8 0`=n01D;?4?;N52>5=Hn80:wpAi0;3xyxdd:3:1<7>50zle6?4ck2d??764O4;94>"3n3i:7);i:g`8K24=82E<=7>4Og395~{Hn90:wpsm8183>5<729qej?4=eb9m06<?3F?26=5+4g84b>"2n3=37B9=:19L34<73Fl:6<urOg295~{zj8>=6=4?:183k`52;l?7c:<:99L1<<73->m6<::;%7e>2><G>81<6A81;28Kc7=9rwDj=4>{|~yxpAn3:1=7?50zQ71?`4280:??860b82301a3g?:6<5m1183>5<129qej?4>;o60>4=H=00;7);;:19'0d<b3->h6=5+5683?!312=:0C9750:M7`?6<Go;1=vsm9783>5<729qej?4ifgdebc`<f=91>=>4O4;94>I1839pqB;<:19~f45c290;6=4?{od1>a=i<:0:<=5@5883?!352190(8;5f59'1=<1m2E<?7>4Og395~{H<l0:wpsm12g94?6=83:pbk<5e:l77?7782E>57>4$409<6=#=<0m96*:8;4f?J14291Dj<4>{|M7a?7|uth:?k4?:183>5}in;0:<6`;3;334>I213:0(8<51138 03=9=:0(8656d9L36<73Fl:6<urO5g95~{zj0o1<7>50;2xjc4=9;1e8>4=;N7:>5=#<102n6A;2;28Kc7=9rwD8h4>{|aaa<7290?6=uaf382a>h3;3>0C8750:&75?bd3-?o6=5+498:f>I3>3:0C9950:M46?6<Go;1=vs@f182xddn3:1<7>50zle6?5a3g>8655@5883?!2a2ji0(8h5cc9L37<73F=:6=5@f082xIa83;pqplk3;294?6=8rdm>7;k;o60>==H=00;7):i:e28 0`=kk1D;?4?;N52>5=Hn80:wpAi0;3xyxdc?3:1<7>50zle6?1e3g>8655@5883?!2a2m>0(8h5cc9L37<73F=:6=5@f082xIa83;pqplkb;294?6=8rdm>776;o60>==H=00;7):i:e:8 0`=kk1D;?4?;N52>5=Hn80:wpAi0;3xyx{zutwKLNu;25866=d>n?8vLMLt0|BCT~I2;3>m7B;9:4:8K00==m1D9o4;f:M6g?333F<;69h4O73911=H><0?j6A96;77?J0d2=l0C;j5669L37<3n2E<?788;N5;>1`<G>31::5@8184g>I?;32>7B6<:9c8K=5=0o1D4548c:M;=?>63F2o6:m4O9g9<4=H1;0<o6A63;:2?J?02>i0C465809L=`<0k2E2j776;Nc5>d4<Gh31mo5@a88a4>Ifm3k97Boi:`58Kg5=i;1Dn94n7:Ma<?g53Fh26l94Ocd9e7=Hk90im6Al7;a0?Jef2ji0Cno5d09Lgc<d;2Eo<7m7;Nf7>f5<Gm?1o55@d88`7>Ici3i37Bk?:b18K`7=lk1Di54j4:Mff?cc3Foi6k<4Od`9b2=Hn90n86Ai1;g:?J`22l>0Ck85e89Lbd<b<2Emn7k6;Nde>`2<G8:;6h74O025>`2<G8:<6<>>;N33a?77i2E:=<4>129L547=9820C<?>:03g?J76>3;;m6A>16824c=H98h1==o4O03`>46a3F;9<7??a:M264<68o1D=?;511c8K44128:m7B?=c;33e>I6:m0:>:5@1269566<G89<6<=6;N303?74m2E:?n4>319L56b=9:?0C<:>:013?J73:3;896A>478275=H9==1=>;4O06g>4573F;?i7?;8:M210<6=81D=86514c8K43?28?m7B?:8;350>I6=10::45@14f9507<G8?n6<;9;N356?7292E::>4>579L531=9<;0C<87:075?J71k3;>=6A>6e8213=H9>;1=8?4O051>4313F;<47?:1:M23<<6?:1D=:k51438K41a28=87B?74;365>I60<0:;>5@19c9507<G82i6<9<;N3:4?7292E:5<4>729L5<>=90>0C<7m:0;g?J7>j3;j>6A>9c82e2=H90h1=lm4O0c3>4?33F;j=7?69:M2e0<61=1D=l8518;8K4gf283?7B?nb;3:=>I6io0:595@1c295<?<G8h?6<7;;N3a1?7>12E:no4>959L5ge=9k<0C<m>:0;7?J7d:3;i:6A>c682=1=H9j21=o84O0ag>4?33F;hi7?m6:M2`6<61=1D=i:51c48K4be28n<7B?ke;3f4>I6ll0:i85@1eg95`g<G8o86<j8;N3f0?7ck2E:i54>d69L5`?=9mi0C<kk:0f4?J7bm3;oo6A>f382`2=H9o91=im4O0d:>4b03F;mm7?i4:M144<6nm1D>=:52148K7632;:i7B<?4;024>I5800:ji5@21c9654<G;:n6<hk;N03b?47:2E9=>4>fe9L642=:980C??7:0dg?J46138;>6A=1g82ba=H:;:1><o4O306>4`c3F89:7<>a:M16g<6nm1D>?m520c8K75628lo7B<<2;02e>I5;>0:ji5@22:964g<G;9m6?=m;N076?43<2E98?4=489L614=:=o0C?:8:31a?J43038?<6A=4b817g=H:=n1>9>4O372>75e3F8>>7<;0:M113<5;k1D>8952528K73c2;9i7B<:e;06<>I5>:09?o5@276960><G;<26?=m;N05e?4202E9:k4=3c9L626=:<20C?98:350?J40i38<o6A=7`81<4=H:>k1>584O35e>7143F83<7<88:M1<1<5?:1D>5;526:8K7>>2;=87B<7a;04<>I50l09;>5@29d962><G;3>6?9<;N0:2?4>82E95o4=729L6<e=:0:0C?o>:350?J4f:382<6A=a68136=H:h21>4>4O3cg>7143F8ji7<60:M1f0<5j81D>o652cc8K7d?2;hm7B<m8;0`0>I5j109o45@2cf96g7<G;hn6?l9;N0`6?4e92E9o>4=b79L6f1=:k;0C?m7:3`5?J4dk38i=6A=ce81f3=H:m;1>o?4O3f1>7d13F8o47<m1:M1`<<5l:1D>ik52c38K7ba2;n87B<j6;0f6>I5m009io5@2d;96c6<G;o26?h:;N0f=?4ai2E9ih4=e39L6``=:l=0C?h<:3g1?J4a<38n;6A=f981a7=H:o31>h94O3dg>7c53F8mi7<j7:M047<5m;1D?==52d58K66>2;o97B=?a;130>I48o09i?5@3029752<G:;<6>?<;N12e?56k2E8=l4<209L74g=;;<0C>?n:20a?J56i398<6A<1`8070=H;8l1?<=4O203>67?3F9987=>3:M060<4911D??753018K64f2:;37B==e;127>I4:o08=55@3219745<G:9?6>?7;N10<?56;2E8?44<199L76b=;890C>=j:23;?J53<39:?6A<44807c=H;=i1?964O26e>6363F9?j7=:6:M00c<4=k1D?8:535:8K6322:>o7B=:9;17<>I4=h088i5@34g971><G:?m6>:k;N156?5302E8:>4<4e9L731=;=20C>8j:24b?J50939<?6A<70803==H;>;1?:j4O252>6>53F9<=7=77:M033<4>h1D?:9537d8K61e2:<j7B=8c;15b>I40908:l5@393973`<G:2>6>8n;N1;2?51n2E84l4<6`9L7=d=;?l0C>6i:24b?J5>839=j6A<97802d=H;0=1?4?4O2;`>60f3F92h7=61:M0e7<4>h1D?l=53838K6g?2:<j7B=n9;1:5>I4il08:l5@3`d97<7<G:h?6>8n;N1a1?5>92E8nl4<6`9L7gd=;0;0C>m?:24b?J5d9392=6A<c7802d=H;j=1?4?4O2a`>60f3F9hh7=61:M0`7<4>h1D?i=53838K6b?2:<j7B=k9;1:5>I4ll08:l5@3ed97<7<G:o?6>8n;N1f1?5>92E8in4<e99L7``=;o;0C>ki:2d5?J5bn39mn6A<f580a==H;o?1?hj4O2d:>6c?3F9mm7=jd:M0b`<4m11D?kh53df8K1642:o37B:?4;1f`>I38h08i55@41`9053<G=;;6>k7;N625?27=2E?=;4<e99L041=<9?0C9?l:2g;?J26l3>;96A;2380a==H<;918=;4}AB
/System09/trunk/rtl/System09_BurchED_B5-X300_2/_ngo/netlist.lst
0,0 → 1,2
C:\Vhdl\System09\rtl\System09_BurchED_B5-X300_2\system09.ngc 1220863442
OK
/System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/rtl.psm Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/rtl.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/rtl.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/rtl.dat =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/rtl.dat (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/rtl.dat (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/rtl.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/_primary.dat =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/_primary.dat (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/_primary.dat (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.psm =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.psm (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.psm (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.dat =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.dat (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.dat (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/rtl.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/_primary.dat =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/_primary.dat (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/_primary.dat (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_rx/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.psm =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.psm (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.psm (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.dat =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.dat (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.dat (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/rtl.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/_primary.dat =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/_primary.dat (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/_primary.dat (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_tx/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/_info =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/_info (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/_info (revision 104) @@ -0,0 +1,119 @@ +m255 +13 +cModel Technology +dG:\Vhdl\System09\rtl\System09_BurchED_B5-X300 +Eacia_6850 +w1170677056 +DP ieee numeric_std =NSdli^?T5OD8;4FD]V7GRb=PYXAhe35W6jP2 +OX;C;6.0a;29 +31 +o-93 -explicit -O0 +tExplicit 1GenerateLoopIterationMax 100000 +Artl +DP ieee numeric_std =NSdli^?T5OD8;4FD]V7GRb=PYXAhe35W6jP2 +l180 +L81 +VgF9afi:]adM3 +OX;C;6.0a;29 +31 +o-93 -explicit -O0 +tExplicit 1GenerateLoopIterationMax 100000 +Artl +DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2 +DP ieee std_logic_unsigned hEMVMlaNCR^3 +l81 +L63 +VLCRG_C=W9h^Tg<`lcm=Y?3 +OX;C;6.0a;29 +31 +M4 ieee std_logic_1164 +M3 ieee numeric_std +M2 ieee std_logic_unsigned +M1 ieee std_logic_arith +o-93 -explicit -O0 +tExplicit 1GenerateLoopIterationMax 100000 Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.dat =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.dat (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.dat (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/_primary.dat =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/_primary.dat =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/_primary.dat (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/_primary.dat (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/_primary.dat Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.psm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.psm =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.psm (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.psm (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/work/acia_6850_testbench/behavior.psm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ucf =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ucf (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ucf (revision 104) @@ -0,0 +1,240 @@ +#### UCF file created by Project Navigator +# +NET "reset_n" LOC = "p57" ; +NET "sysclk" LOC = "p77" ; +# +# Connector A +# For modified B3-SRAM +# +NET "ram1_addr<0>" LOC = "P3" ; #J1-2 (I/O - not a global clock input) +NET "ram1_addr<1>" LOC = "P4" ; #J1-3 +NET "ram1_addr<2>" LOC = "P5" ; #J1-4 +NET "ram1_addr<3>" LOC = "P6" ; #J1-5 +NET "ram1_addr<4>" LOC = "P7" ; #J1-6 +NET "ram1_addr<5>" LOC = "P8" ; #J1-7 +NET "ram1_addr<6>" LOC = "P9" ; #J1-8 +NET "ram1_addr<7>" LOC = "P10"; #J1-9 +NET "ram1_csn" LOC = "P11"; #J1-10 +NET "ram1_addr<8>" LOC = "P15"; #J1-11 +NET "ram1_addr<9>" LOC = "P16"; #J1-12 +NET "ram1_addr<10>" LOC = "P17"; #J1-13 +NET "ram1_addr<11>" LOC = "P18"; #J1-14 +NET "ram1_addr<12>" LOC = "P20"; #J1-15 +NET "ram1_addr<13>" LOC = "P21"; #J1-16 +NET "ram1_addr<14>" LOC = "P22"; #J1-17 +NET "ram1_addr<15>" LOC = "P23"; #J1-18 +NET "ram1_addr<16>" LOC = "P24"; #J1-19 +# +# Connector B +# For modified B3-SRAM +# +NET "ram1_data<0>" LOC = "P27"; #J2-2 (I/O - not a global clock input) +NET "ram1_data<1>" LOC = "P29"; #J2-3 +NET "ram1_data<2>" LOC = "P30"; #J2-4 +NET "ram1_data<3>" LOC = "P31"; #J2-5 +NET "ram1_data<4>" LOC = "P33"; #J2-6 +NET "ram1_data<5>" LOC = "P34"; #J2-7 +NET "ram1_data<6>" LOC = "P35"; #J2-8 +NET "ram1_data<7>" LOC = "P36"; #J2-9 +NET "ram1_data<8>" LOC = "P40"; #J2-10 +NET "ram1_data<9>" LOC = "P41"; #J2-11 +NET "ram1_data<10>" LOC = "P42"; #J2-12 +NET "ram1_data<11>" LOC = "P43"; #J2-13 +NET "ram1_data<12>" LOC = "P44"; #J2-14 +NET "ram1_data<13>" LOC = "P45"; #J2-15 +NET "ram1_data<14>" LOC = "P46"; #J2-16 +NET "ram1_data<15>" LOC = "P47"; #J2-17 +NET "ram1_wrun" LOC = "P48"; #J2-18 +NET "ram1_wrln" LOC = "P49"; #J2-19 +# +# Connector C +# For B5-Peripheral-Connectors +# +NET "vga0_vs" LOC = "p55" ; #pin 3 +NET "vga0_hs" LOC = "p56" ; #pin 4 +NET "vga0_blue<0>" LOC = "p58" ; #pin 5 +NET "vga0_blue<1>" LOC = "p59" ; #pin 6 +NET "vga0_green<0>" LOC = "p60" ; #pin 7 +NET "vga0_green<1>" LOC = "p61" ; #pin 8 +NET "vga0_red<0>" LOC = "p62" ; #pin 9 +NET "vga0_red<1>" LOC = "p63" ; #pin 10 +NET "kb0_clock" LOC = "p64" ; #pin 11 +NET "kb0_data" LOC = "p68" ; #pin 12 +#NET "mouse0_clock" LOC = "p69" ; #pin 13 +#NET "mouse0_data" LOC = "p70" ; #pin 14 +#NET "buzzer0" LOC = "p71" ; #pin 15 +NET "uart0_cts_n" LOC = "p73" ; #pin 16 +NET "uart0_rxd" LOC = "p74" ; #pin 17 +NET "uart0_txd" LOC = "p75" ; #pin 18 +NET "uart0_rts_n" LOC = "p81" ; #pin 19 +# +# Connector D +# B3-FPGA-CPU-IO Module +# +#NET "aux1_clk" LOC = "P80" ; #J1-2 +#NET "buzzer1" LOC = "P82" ; #J1-3 +NET "led" LOC = "p82" ; #J1-3 +#NET "mouse1_clock" LOC = "P83" ; #J1-4 +#NET "mouse1_data" LOC = "P84" ; #J1-5 +NET "uart1_cts_n" LOC = "P86" ; #J1-6 +NET "uart1_rts_n" LOC = "P87" ; #J1-7 +NET "uart1_txd" LOC = "P88" ; #J1-8 +NET "uart1_rxd" LOC = "P89" ; #J1-9 +#NET "kb1_clock" LOC = "P93" ; #J1-10 +#NET "kb1_data" LOC = "P94" ; #J1-11 +#NET "vga1_vs" LOC = "P95" ; #J1-12 +#NET "vga1_hs" LOC = "P96" ; #J1-13 +#NET "vga1_blue<0>" LOC = "P97" ; #J1-14 +#NET "vga1_blue<1>" LOC = "P98" ; #J1-15 +#NET "vga1_green<0>" LOC = "P99" ; #J1-16 +#NET "vga1_green<1>" LOC = "P100"; #J1-17 +#NET "vga1_red<0>" LOC = "P101"; #J1-18 +#NET "vga1_red<1>" LOC = "P102"; #J1-19 +# +# Connector E +# For B5-SRAM +# +NET "ram0_csn" LOC = "p108"; #J1.2 +NET "ram0_addr<16>" LOC = "p109"; #J1.3 +NET "ram0_addr<15>" LOC = "p110"; #J1.4 +NET "ram0_addr<14>" LOC = "p111"; #J1.5 +NET "ram0_addr<13>" LOC = "p112"; #J1.6 +NET "ram0_addr<12>" LOC = "p113"; #J1.7 +NET "ram0_addr<11>" LOC = "p114"; #J1.8 +NET "ram0_addr<10>" LOC = "p115"; #J1.9 +NET "ram0_addr<9>" LOC = "p116"; #J1.10 +NET "ram0_addr<8>" LOC = "p120"; #J1.11 +NET "ram0_addr<7>" LOC = "p121"; #J1.12 +NET "ram0_addr<6>" LOC = "p122"; #J1.13 +NET "ram0_addr<5>" LOC = "p123"; #J1.14 +NET "ram0_addr<4>" LOC = "p125"; #J1.15 +NET "ram0_addr<3>" LOC = "p126"; #J1.16 +NET "ram0_addr<2>" LOC = "p127"; #J1.17 +NET "ram0_addr<1>" LOC = "p129"; #J1.18 +NET "ram0_addr<0>" LOC = "p132"; #J1.19 +# +# Connector F +# For B5-SRAM +# +NET "ram0_wrun" LOC = "p133"; #J2.2 +NET "ram0_wrln" LOC = "p134"; #J2.3 +NET "ram0_data<15>" LOC = "p135"; #J2.4 +NET "ram0_data<14>" LOC = "p136"; #J2.5 +NET "ram0_data<13>" LOC = "p138"; #J2.6 +NET "ram0_data<12>" LOC = "p139"; #J2.7 +NET "ram0_data<11>" LOC = "p140"; #J2.8 +NET "ram0_data<10>" LOC = "p141"; #J2.9 +NET "ram0_data<9>" LOC = "p145"; #J2.10 +NET "ram0_data<8>" LOC = "p146"; #J2.11 +NET "ram0_data<7>" LOC = "p147"; #J2.12 +NET "ram0_data<6>" LOC = "p148"; #J2.13 +NET "ram0_data<5>" LOC = "p149"; #J2.14 +NET "ram0_data<4>" LOC = "p150"; #J2.15 +NET "ram0_data<3>" LOC = "p151"; #J2.16 +NET "ram0_data<2>" LOC = "p152"; #J2.17 +NET "ram0_data<1>" LOC = "p153"; #J2.18 +NET "ram0_data<0>" LOC = "p154"; #J2.19 +# +# Connector G +# For B5-Compact-Flash: +# +#NET "pin2" LOC = "P182" ; #J1-2 (clk input) +#NET "pin3" LOC = "P160" ; #J1-3 +#NET "cf_intrq" LOC = "P161" ; #J1-4 +NET "cf_wr_n" LOC = "P162" ; #J1-5 +NET "cf_rd_n" LOC = "P163" ; #J1-6 +NET "cf_cs1_n" LOC = "P164" ; #J1-7 +NET "cf_d<15>" LOC = "P165" ; #J1-8 +NET "cf_d<14>" LOC = "P166" ; #J1-9 +NET "cf_d<13>" LOC = "P167" ; #J1-10 +NET "cf_d<12>" LOC = "P168" ; #J1-11 +NET "cf_d<11>" LOC = "P169" ; #J1-12 +#NET "cf_present" LOC = "P173" ; #J1-13 +NET "cf_d<3>" LOC = "P174" ; #J1-14 +NET "cf_d<4>" LOC = "P175" ; #J1-15 +NET "cf_d<5>" LOC = "P176" ; #J1-16 +NET "cf_d<6>" LOC = "P178" ; #J1-17 +NET "cf_d<7>" LOC = "P179" ; #J1-18 +NET "cf_cs0_n" LOC = "P180" ; #J1-19 +# +# Connector H +# For B5-Compact-Flash: +# +#NET "pin2" LOC = "p185"; #J2-2 (clk input) +#NET "pin3" LOC = "p181"; #J2-3 +#NET "pin4" LOC = "p187"; #J2-4 +#NET "pin5" LOC = "p188"; #J2-5 +NET "cf_a<2>" LOC = "P189"; #J2-6 +NET "cf_a<1>" LOC = "P191"; #J2-7 +NET "cf_a<0>" LOC = "P192"; #J2-8 +NET "cf_d<0>" LOC = "P193"; #J2-9 +NET "cf_d<1>" LOC = "P194"; #J2-10 +NET "cf_d<2>" LOC = "P198"; #J2-11 +#NET "cf_cs16_n" LOC = "P199"; #J2-12 +NET "cf_d<10>" LOC = "P200"; #J2-13 +NET "cf_d<9>" LOC = "P201"; #J2-14 +NET "cf_d<8>" LOC = "P202"; #J2-15 +#NET "cf_pdiag" LOC = "P203"; #J2-16 +#NET "cf_dase" LOC = "P204"; #J2-17 +#NET "cf_iordy" LOC = "P205"; #J2-18 +NET "cf_rst_n" LOC = "P206"; #J2-19 +# +# Timing Groups +# +INST "ram0_addr<0>" TNM = "gram0_addr"; +INST "ram0_addr<1>" TNM = "gram0_addr"; +INST "ram0_addr<2>" TNM = "gram0_addr"; +INST "ram0_addr<3>" TNM = "gram0_addr"; +INST "ram0_addr<4>" TNM = "gram0_addr"; +INST "ram0_addr<5>" TNM = "gram0_addr"; +INST "ram0_addr<6>" TNM = "gram0_addr"; +INST "ram0_addr<7>" TNM = "gram0_addr"; +INST "ram0_addr<8>" TNM = "gram0_addr"; +INST "ram0_addr<9>" TNM = "gram0_addr"; +INST "ram0_addr<10>" TNM = "gram0_addr"; +INST "ram0_addr<11>" TNM = "gram0_addr"; +INST "ram0_addr<12>" TNM = "gram0_addr"; +INST "ram0_addr<13>" TNM = "gram0_addr"; +INST "ram0_addr<14>" TNM = "gram0_addr"; +INST "ram0_addr<15>" TNM = "gram0_addr"; +INST "ram0_addr<16>" TNM = "gram0_addr"; +INST "ram0_data<0>" TNM = "gram0_data"; +INST "ram0_data<1>" TNM = "gram0_data"; +INST "ram0_data<2>" TNM = "gram0_data"; +INST "ram0_data<3>" TNM = "gram0_data"; +INST "ram0_data<4>" TNM = "gram0_data"; +INST "ram0_data<5>" TNM = "gram0_data"; +INST "ram0_data<6>" TNM = "gram0_data"; +INST "ram0_data<7>" TNM = "gram0_data"; +INST "ram0_data<8>" TNM = "gram0_data"; +INST "ram0_data<9>" TNM = "gram0_data"; +INST "ram0_data<10>" TNM = "gram0_data"; +INST "ram0_data<11>" TNM = "gram0_data"; +INST "ram0_data<12>" TNM = "gram0_data"; +INST "ram0_data<13>" TNM = "gram0_data"; +INST "ram0_data<14>" TNM = "gram0_data"; +INST "ram0_data<15>" TNM = "gram0_data"; +INST "ram0_wrln" TNM = "gram0_wr"; +INST "ram0_wrun" TNM = "gram0_wr"; +INST "ram0_csn" TNM = "gram0_cs"; +# +# Timing Constraints +# +#TIMEGRP "gram0_cs" OFFSET = OUT 40 ns AFTER "sysclk"; +#TIMEGRP "gram0_wr" OFFSET = OUT 40 ns AFTER "sysclk"; +#TIMEGRP "gram0_addr" OFFSET = OUT 40 ns AFTER "sysclk"; +#TIMEGRP "gram0_data" OFFSET = OUT 40 ns AFTER "sysclk"; +#TIMEGRP "gram0_data" OFFSET = IN 15 ns BEFORE "sysclk"; +#TIMEGRP "gtest_alu" OFFSET = OUT 90 ns AFTER "sysclk"; +#TIMEGRP "gtest_cc" OFFSET = OUT 95 ns AFTER "sysclk"; +NET "sysclk" TNM_NET = "sysclk"; +TIMESPEC "TS_sysclk" = PERIOD "sysclk" 20 ns LOW 50 %; +# +# Fast I/O Pins +# +NET "ram0_csn" FAST; +NET "ram0_wrln" FAST; +NET "ram0_wrun" FAST; +NET "ram1_csn" FAST; +NET "ram1_wrln" FAST; +NET "ram1_wrun" FAST; Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ipf =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ipf (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ipf (revision 104) @@ -0,0 +1,32 @@ +JedecChain; +FileRevision(JESDxxA); +/* NoviceMode */ +/* Active Mode BS */ +/* Mode BS */ + P ActionCode(Cfg) + Device + PartName(xc18v02) + File("C:\Vhdl\System09\rtl\System09_BurchED_B5-X300_2\sys09_B5-X300_2.mcs") + ; +/* Mode SS */ +/* Mode SM */ +/* Mode BSFILE */ +/* Mode HW140 */ +/* Supermode FileMode */ +/* ConfigDevice PFF "xc18v02" 0 0 */ +/* PromDevice "xc18v02" 262144 */ +/* Serial */ +/* ConfigDevicePath ("C:\vhdl\system09\rtl\System09_BurchED_B5-X300_2/") */ +/* Format mcs */ +/* FillValue FF */ +/* BitSwap FALSE */ +/* LoadDirection UP */ +/* Collection "sys09_B5-X300_2" */ +/* Version 0 "0" */ +/* ConcurrentChain 0 */ + P ActionCode(Cfg) + Device + PartName(xc2s300e) + File("C:\Vhdl\System09\rtl\System09_BurchED_B5-X300_2\system09.bit") + ; +ChainEnd; Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/automake.log =================================================================== Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.vhd =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.vhd (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.vhd (revision 104) @@ -0,0 +1,1069 @@ +--===========================================================================---- +-- +-- S Y N T H E Z I A B L E System09 - SOC. +-- +--===========================================================================---- +-- +-- This core adheres to the GNU public license +-- +-- File name : System09_BurchED_B5-X300.vhd +-- +-- Purpose : Top level file for 6809 compatible system on a chip +-- Designed with Xilinx XC2S300e Spartan 2E FPGA. +-- Implemented With BurchED B5-X300 FPGA board, +-- B5-SRAM module, B5-CF module and B5-FPGA-CPU-IO module +-- +-- Dependencies : ieee.Std_Logic_1164 +-- ieee.std_logic_unsigned +-- ieee.std_logic_arith +-- ieee.numeric_std +-- +-- Uses : +-- cpu09 (cpu09.vhd) CPU core +-- mon_rom (sys09bug_rom2k_b4.vhd) Monitor ROM +-- dat_ram (datram.vhd) Dynamic Address Translation +-- acia_6850 (ACIA_6850.vhd) ACIA / MiniUART +-- (ACIA_RX.vhd) +-- (ACIA_TX.vhd) +-- ACIA_Clock (ACIA_Clock.vhd) ACIA Baud Clock Divider +-- keyboard (keyboard.vhd) PS/2 Keyboard Interface +-- vdu8 (vdu8.vhd) 80 x 25 Video Display +-- timer (timer.vhd) Timer module +-- trap (trap.vhd) Bus Trap interrupt +-- uart1 (uart1.vhd) Parallel I/O port. +-- +-- Author : John E. Kent +-- dilbert57@opencores.org +-- Memory Map : +-- $E000 - ACIA (SWTPc) +-- $E010 - Reserved for FD1771 FDC (SWTPc) +-- $E020 - Keyboard +-- $E030 - VDU +-- $E040 - Compact Flash +-- $E050 - Timer +-- $E060 - Bus trap +-- $E070 - Parallel I/O +-- $E080 - Reserved for 6821 PIA (?) (SWTPc) +-- $E090 - Reserved for 6840 PTM (?) (SWTPc) +-- +--===========================================================================---- +-- +-- Revision History: +--===========================================================================-- +-- Version 0.1 - 20 March 2003 +-- Version 0.2 - 30 March 2003 +-- Version 0.3 - 29 April 2003 +-- Version 0.4 - 29 June 2003 +-- +-- Version 0.5 - 19 July 2003 +-- prints out "Hello World" +-- +-- Version 0.6 - 5 September 2003 +-- Runs SBUG +-- +-- Version 1.0- 6 Sep 2003 - John Kent +-- Inverted SysClk +-- Initial release to Open Cores +-- +-- Version 1.1 - 17 Jan 2004 - John Kent +-- Updated miniUart. +-- +-- Version 1.2 - 25 Jan 2004 - John Kent +-- removed signals "test_alu" and "test_cc" +-- Trap hardware re-instated. +-- +-- Version 1.3 - 11 Feb 2004 - John Kent +-- Designed forked off to produce System09_VDU +-- Added VDU component +-- VDU runs at 25MHz and divides the clock by 2 for the CPU +-- UART Runs at 57.6 Kbps +-- +-- Version 1.4 - 21 Nov 2004 - John Kent +-- Changes to make compatible with Spartan3 starter kit version +-- Designed to run with a 50MHz clock input. +-- the VDU divides 50 MHz to generate a +-- 25 MHz VDU Pixel Clock and a 12.5 MHz CPU clock +-- Changed Monitor ROM signals to make it look like +-- a standard 2K memory block +-- Re-assigned I/O port assignments so it is possible to run KBUG9 +-- $E000 - ACIA +-- $E010 - Keyboard +-- $E020 - VDU +-- $E030 - Compact Flash +-- $E040 - Timer +-- $E050 - Bus trap +-- $E060 - Parallel I/O +-- +-- Version 1.5 - 3rd February 2007 - John Kent +-- Changed VDU8 to use external clock divider +-- renamed miniUART to ACIA_6850 +-- Memory decoding of ROM & IO now uses DAT +-- +-- Version 1.6 - 7th Februaury 2007 - John Kent +-- Made ACIA Clock generator an external component +-- Added Generics to VDU and Keyboard +-- Changed decoding +-- +-- Version 1.7 - 20th May 2007 - John Kent +-- Added 4 wait states to CF access +-- Removed DAT memory map control of ROM & IO +-- to allow for full use of RAM as a RAM disk. +-- Mapped in all 16 bits of the CF data bus. +-- +--=========================================================================== +-- +library ieee; + use ieee.std_logic_1164.all; + use IEEE.STD_LOGIC_ARITH.ALL; + use IEEE.STD_LOGIC_UNSIGNED.ALL; + use ieee.numeric_std.all; +library unisim; + use unisim.vcomponents.all; + +entity System09 is + port( + SysClk : in Std_Logic; -- System Clock input + Reset_n : in Std_logic; -- Master Reset input (active low) + LED : out std_logic; -- Diagnostic LED Flasher + + -- Memory Interface signals + -- B5-SRAM + ram0_csn : out Std_Logic; + ram0_wrln : out Std_Logic; + ram0_wrun : out Std_Logic; + ram0_addr : out Std_Logic_Vector(16 downto 0); + ram0_data : inout Std_Logic_Vector(15 downto 0); + + -- Memory Interface signals + -- B3-SRAM + ram1_csn : out Std_Logic; + ram1_wrln : out Std_Logic; + ram1_wrun : out Std_Logic; + ram1_addr : out Std_Logic_Vector(16 downto 0); + ram1_data : inout Std_Logic_Vector(15 downto 0); + + -- B5 Peripheral IO Board + + -- PS/2 Keyboard + kb0_clock : inout Std_logic; + kb0_data : inout Std_Logic; + + -- PS/2 Mouse interface +-- mouse0_clock : in Std_Logic; +-- mouse0_data : in Std_Logic; + + -- Uart Interface + uart0_rxd : in Std_Logic; + uart0_txd : out Std_Logic; + uart0_rts_n : out Std_Logic; + uart0_cts_n : in Std_Logic; + + -- CRTC output signals + vga0_vs : out Std_Logic; + vga0_hs : out Std_Logic; + vga0_blue : out std_logic_vector(1 downto 0); + vga0_green : out std_logic_vector(1 downto 0); + vga0_red : out std_logic_vector(1 downto 0); + + -- Buzzer +-- buzzer0 : out std_logic; + + -- B3 Peripheral Connect Board + + -- PS/2 Keyboard +-- kb1_clock : inout Std_logic; +-- kb1_data : inout Std_Logic; + + -- PS/2 Mouse interface +-- mouse0_clock : in Std_Logic; +-- mouse0_data : in Std_Logic; + + -- Uart Interface + uart1_rxd : in Std_Logic; + uart1_txd : out Std_Logic; + uart1_rts_n : out Std_Logic; + uart1_cts_n : in Std_Logic; + + -- CRTC output signals +-- vga1_vs : out Std_Logic; +-- vga1_hs : out Std_Logic; +-- vga1_blue : out std_logic_vector(1 downto 0); +-- vga1_green : out std_logic_vector(1 downto 0); +-- vga1_red : out std_logic_vector(1 downto 0); + + -- Buzzer +-- buzzer1 : out std_logic; + + -- Compact Flash + cf_rst_n : out std_logic; + cf_cs0_n : out std_logic; + cf_cs1_n : out std_logic; + cf_rd_n : out std_logic; + cf_wr_n : out std_logic; + cf_a : out std_logic_vector(2 downto 0); + cf_d : inout std_logic_vector(15 downto 0) + ); +end System09; + +------------------------------------------------------------------------------- +-- Architecture for System09 +------------------------------------------------------------------------------- +architecture rtl of System09 is + ----------------------------------------------------------------------------- + -- constants + ----------------------------------------------------------------------------- + constant SYS_CLK_FREQ : integer := 50000000; -- FPGA System Clock + constant VGA_CLK_FREQ : integer := 25000000; -- VGA Pixel Clock + constant CPU_CLK_FREQ : integer := 12500000; -- CPU Clock + constant BAUD0_RATE : integer := 57600; -- Baud Rate + constant BAUD1_RATE : integer := 57600; -- Baud Rate + constant ACIA0_CLK_FREQ : integer := BAUD0_RATE * 16; + constant ACIA1_CLK_FREQ : integer := BAUD1_RATE * 16; + + type hold_state_type is ( hold_release_state, hold_request_state ); + + ----------------------------------------------------------------------------- + -- Signals + ----------------------------------------------------------------------------- + -- Monitor ROM + signal rom_data_out : Std_Logic_Vector(7 downto 0); + signal rom_cs : std_logic; + + -- UART Interface signals + signal uart0_data_out : Std_Logic_Vector(7 downto 0); + signal uart0_cs : Std_Logic; + signal uart0_irq : Std_Logic; + signal uart0_clk : Std_Logic; + signal uart0_dcd_n : Std_Logic; + + -- UART Interface signals + signal uart1_data_out : Std_Logic_Vector(7 downto 0); + signal uart1_cs : Std_Logic; + signal uart1_irq : Std_Logic; + signal uart1_clk : Std_Logic; + signal uart1_dcd_n : Std_Logic; + + -- timer + signal timer_data_out : std_logic_vector(7 downto 0); + signal timer_cs : std_logic; + signal timer_irq : std_logic; + + -- trap + signal trap_cs : std_logic; + signal trap_data_out : std_logic_vector(7 downto 0); + signal trap_irq : std_logic; + + -- compact flash port + signal cf_data_out : std_logic_vector(7 downto 0); + signal cf_cs : std_logic; + signal cf_rd : std_logic; + signal cf_wr : std_logic; + signal cf_hold : std_logic; + signal cf_release : std_logic; + signal cf_count : std_logic_vector(3 downto 0); + signal cf_hold_state : hold_state_type; + + -- keyboard port + signal keyboard_data_out : std_logic_vector(7 downto 0); + signal keyboard_cs : std_logic; + signal keyboard_irq : std_logic; + + -- B5 RAM + signal ram0_cs : std_logic; -- memory chip select + signal ram0_wrl : std_logic; -- memory write lower + signal ram0_wru : std_logic; -- memory write upper + signal ram0_data_out : std_logic_vector(7 downto 0); + + -- B3 RAM + signal ram1_cs : std_logic; -- memory chip select + signal ram1_wrl : std_logic; -- memory write lower + signal ram1_wru : std_logic; -- memory write upper + signal ram1_data_out : std_logic_vector(7 downto 0); + + -- CPU Interface signals + signal cpu_reset : Std_Logic; + signal cpu_clk : Std_Logic; + signal cpu_rw : std_logic; + signal cpu_vma : std_logic; + signal cpu_halt : std_logic; + signal cpu_hold : std_logic; + signal cpu_firq : std_logic; + signal cpu_irq : std_logic; + signal cpu_nmi : std_logic; + signal cpu_addr : std_logic_vector(15 downto 0); + signal cpu_data_in : std_logic_vector(7 downto 0); + signal cpu_data_out : std_logic_vector(7 downto 0); + + -- Dynamic address translation + signal dat_cs : std_logic; + signal dat_addr : std_logic_vector(7 downto 0); + + -- Video Display Unit + signal vga_clk : std_logic; + signal vdu_cs : std_logic; + signal vdu_data_out : std_logic_vector(7 downto 0); + signal vga_red : std_logic; + signal vga_green : std_logic; + signal vga_blue : std_logic; + + -- Flashing Led test signals + signal countL : std_logic_vector(23 downto 0); + signal clock_div : std_logic_vector(1 downto 0); + +----------------------------------------------------------------- +-- +-- CPU09 CPU core +-- +----------------------------------------------------------------- + +component cpu09 + port ( + clk: in std_logic; + rst: in std_logic; + rw: out std_logic; -- Asynchronous memory interface + vma: out std_logic; + address: out std_logic_vector(15 downto 0); + data_in: in std_logic_vector(7 downto 0); + data_out: out std_logic_vector(7 downto 0); + halt: in std_logic; + hold: in std_logic; + irq: in std_logic; + nmi: in std_logic; + firq: in std_logic + ); +end component; + + +---------------------------------------- +-- +-- SBUG Block RAM Monitor ROM +-- +---------------------------------------- +component mon_rom + port ( + clk : in std_logic; + rst : in std_logic; + cs : in std_logic; + rw : in std_logic; + addr : in std_logic_vector (10 downto 0); + wdata : in std_logic_vector (7 downto 0); + rdata : out std_logic_vector (7 downto 0) + ); +end component; + + +---------------------------------------- +-- +-- Dynamic Address Translation Registers +-- +---------------------------------------- +component dat_ram + port ( + clk: in std_logic; + rst: in std_logic; + cs: in std_logic; + rw: in std_logic; + addr_lo: in std_logic_vector(3 downto 0); + addr_hi: in std_logic_vector(3 downto 0); + data_in: in std_logic_vector(7 downto 0); + data_out: out std_logic_vector(7 downto 0) + ); +end component; + +----------------------------------------------------------------- +-- +-- 6850 ACIA/UART +-- +----------------------------------------------------------------- + +component ACIA_6850 + port ( + clk : in Std_Logic; -- System Clock + rst : in Std_Logic; -- Reset input (active high) + cs : in Std_Logic; -- miniUART Chip Select + rw : in Std_Logic; -- Read / Not Write + irq : out Std_Logic; -- Interrupt + Addr : in Std_Logic; -- Register Select + DataIn : in Std_Logic_Vector(7 downto 0); -- Data Bus In + DataOut : out Std_Logic_Vector(7 downto 0); -- Data Bus Out + RxC : in Std_Logic; -- Receive Baud Clock + TxC : in Std_Logic; -- Transmit Baud Clock + RxD : in Std_Logic; -- Receive Data + TxD : out Std_Logic; -- Transmit Data + DCD_n : in Std_Logic; -- Data Carrier Detect + CTS_n : in Std_Logic; -- Clear To Send + RTS_n : out Std_Logic ); -- Request To send +end component; + +----------------------------------------------------------------- +-- +-- ACIA Clock divider +-- +----------------------------------------------------------------- + +component ACIA_Clock + generic ( + SYS_CLK_FREQ : integer := SYS_CLK_FREQ; + ACIA_CLK_FREQ : integer + ); + port ( + clk : in Std_Logic; -- System Clock Input + ACIA_clk : out Std_logic -- ACIA Clock output + ); +end component; + +---------------------------------------- +-- +-- Timer module +-- +---------------------------------------- + +component timer + port ( + clk : in std_logic; + rst : in std_logic; + cs : in std_logic; + rw : in std_logic; + addr : in std_logic; + data_in : in std_logic_vector(7 downto 0); + data_out : out std_logic_vector(7 downto 0); + irq : out std_logic + ); +end component; + +------------------------------------------------------------ +-- +-- Bus Trap logic +-- +------------------------------------------------------------ + +component trap + port ( + clk : in std_logic; + rst : in std_logic; + cs : in std_logic; + rw : in std_logic; + vma : in std_logic; + addr : in std_logic_vector(15 downto 0); + data_in : in std_logic_vector(7 downto 0); + data_out : out std_logic_vector(7 downto 0); + irq : out std_logic + ); +end component; + +---------------------------------------- +-- +-- PS/2 Keyboard +-- +---------------------------------------- + +component keyboard + generic( + KBD_CLK_FREQ : integer := CPU_CLK_FREQ + ); + port( + clk : in std_logic; + rst : in std_logic; + cs : in std_logic; + rw : in std_logic; + addr : in std_logic; + data_in : in std_logic_vector(7 downto 0); + data_out : out std_logic_vector(7 downto 0); + irq : out std_logic; + kbd_clk : inout std_logic; + kbd_data : inout std_logic + ); +end component; + +---------------------------------------- +-- +-- Video Display Unit. +-- +---------------------------------------- +component vdu8 + generic( + VGA_CLK_FREQ : integer := VGA_CLK_FREQ; -- 25MHz + VGA_HOR_CHARS : integer := 80; -- CHARACTERS 25.6us + VGA_HOR_CHAR_PIXELS : integer := 8; -- PIXELS 0.32us + VGA_HOR_FRONT_PORCH : integer := 16; -- PIXELS 0.64us (0.94us) + VGA_HOR_SYNC : integer := 96; -- PIXELS 3.84us (3.77us) + VGA_HOR_BACK_PORCH : integer := 48; -- PIXELS 1.92us (1.89us) + VGA_VER_CHARS : integer := 25; -- CHARACTERS 12.8ms + VGA_VER_CHAR_LINES : integer := 16; -- LINES 0.512ms + VGA_VER_FRONT_PORCH : integer := 10; -- LINES 0.320ms + VGA_VER_SYNC : integer := 2; -- LINES 0.064ms + VGA_VER_BACK_PORCH : integer := 34 -- LINES 1.088ms + ); + port( + -- control register interface + vdu_clk : in std_logic; -- CPU Clock - 12.5MHz + vdu_rst : in std_logic; + vdu_cs : in std_logic; + vdu_rw : in std_logic; + vdu_addr : in std_logic_vector(2 downto 0); + vdu_data_in : in std_logic_vector(7 downto 0); + vdu_data_out : out std_logic_vector(7 downto 0); + + -- vga port connections + vga_clk : in std_logic; -- VGA Pixel Clock - 25 MHz + vga_red_o : out std_logic; + vga_green_o : out std_logic; + vga_blue_o : out std_logic; + vga_hsync_o : out std_logic; + vga_vsync_o : out std_logic + ); +end component; + + +component BUFG + port ( + i: in std_logic; + o: out std_logic + ); +end component; + +begin + ----------------------------------------------------------------------------- + -- Instantiation of internal components + ----------------------------------------------------------------------------- + +---------------------------------------- +-- +-- CPU09 CPU Core +-- +---------------------------------------- +my_cpu : cpu09 port map ( + clk => cpu_clk, + rst => cpu_reset, + rw => cpu_rw, + vma => cpu_vma, + address => cpu_addr(15 downto 0), + data_in => cpu_data_in, + data_out => cpu_data_out, + halt => cpu_halt, + hold => cpu_hold, + irq => cpu_irq, + nmi => cpu_nmi, + firq => cpu_firq + ); + +---------------------------------------- +-- +-- SBUG / KBUG / SYS09BUG Monitor ROM +-- +---------------------------------------- +my_rom : mon_rom port map ( + clk => cpu_clk, + rst => cpu_reset, + cs => rom_cs, + rw => '1', + addr => cpu_addr(10 downto 0), + wdata => cpu_data_out, + rdata => rom_data_out + ); + +---------------------------------------- +-- +-- Dynamic Address Translation Registers +-- +---------------------------------------- +my_dat : dat_ram port map ( + clk => cpu_clk, + rst => cpu_reset, + cs => dat_cs, + rw => cpu_rw, + addr_hi => cpu_addr(15 downto 12), + addr_lo => cpu_addr(3 downto 0), + data_in => cpu_data_out, + data_out => dat_addr(7 downto 0) + ); + +---------------------------------------- +-- +-- ACIA/UART Serial interface +-- +---------------------------------------- +my_ACIA0 : ACIA_6850 port map ( + clk => cpu_clk, + rst => cpu_reset, + cs => uart0_cs, + rw => cpu_rw, + irq => uart0_irq, + Addr => cpu_addr(0), + Datain => cpu_data_out, + DataOut => uart0_data_out, + RxC => uart0_clk, + TxC => uart0_clk, + RxD => uart0_rxd, + TxD => uart0_txd, + DCD_n => uart0_dcd_n, + CTS_n => uart0_cts_n, + RTS_n => uart0_rts_n + ); + +my_ACIA1 : ACIA_6850 port map ( + clk => cpu_clk, + rst => cpu_reset, + cs => uart1_cs, + rw => cpu_rw, + irq => uart1_irq, + Addr => cpu_addr(0), + Datain => cpu_data_out, + DataOut => uart1_data_out, + RxC => uart1_clk, + TxC => uart1_clk, + RxD => uart1_rxd, + TxD => uart1_txd, + DCD_n => uart1_dcd_n, + CTS_n => uart1_cts_n, + RTS_n => uart1_rts_n + ); + +---------------------------------------- +-- +-- ACIA Clock +-- +---------------------------------------- +my_ACIA0_Clock : ACIA_Clock + generic map( + SYS_CLK_FREQ => SYS_CLK_FREQ, + ACIA_CLK_FREQ => ACIA0_CLK_FREQ + ) + port map( + clk => SysClk, + acia_clk => uart0_clk + ); + +my_ACIA1_Clock : ACIA_Clock + generic map( + SYS_CLK_FREQ => SYS_CLK_FREQ, + ACIA_CLK_FREQ => ACIA1_CLK_FREQ + ) + port map( + clk => SysClk, + acia_clk => uart1_clk + ); + + +---------------------------------------- +-- +-- PS/2 Keyboard Interface +-- +---------------------------------------- +my_keyboard : keyboard + generic map ( + KBD_CLK_FREQ => CPU_CLK_FREQ + ) + port map( + clk => cpu_clk, + rst => cpu_reset, + cs => keyboard_cs, + rw => cpu_rw, + addr => cpu_addr(0), + data_in => cpu_data_out(7 downto 0), + data_out => keyboard_data_out(7 downto 0), + irq => keyboard_irq, + kbd_clk => kb0_clock, + kbd_data => kb0_data + ); + +---------------------------------------- +-- +-- Video Display Unit instantiation +-- +---------------------------------------- +my_vdu : vdu8 + generic map( + VDU_CLK_FREQ => CPU_CLK_FREQ, -- HZ + VGA_CLK_FREQ => VGA_CLK_FREQ, -- HZ + VGA_HOR_CHARS => 80, -- CHARACTERS + VGA_VER_CHARS => 25, -- CHARACTERS + VGA_PIX_PER_CHAR => 8, -- PIXELS + VGA_LIN_PER_CHAR => 16, -- LINES + VGA_HOR_BACK_PORCH => 40, -- PIXELS + VGA_HOR_SYNC => 96, -- PIXELS + VGA_HOR_FRONT_PORCH => 24, -- PIXELS + VGA_VER_BACK_PORCH => 13, -- LINES + VGA_VER_SYNC => 1, -- LINES + VGA_VER_FRONT_PORCH => 36 -- LINES + ) + port map( + + -- Control Registers + vdu_clk => cpu_clk, -- 12.5 MHz System Clock in + vdu_rst => cpu_reset, + vdu_cs => vdu_cs, + vdu_rw => cpu_rw, + vdu_addr => cpu_addr(2 downto 0), + vdu_data_in => cpu_data_out, + vdu_data_out => vdu_data_out, + + -- vga port connections + vga_clk => vga_clk, -- 25 MHz VDU pixel clock + vga_red_o => vga_red, + vga_green_o => vga_green, + vga_blue_o => vga_blue, + vga_hsync_o => vga0_hs, + vga_vsync_o => vga0_vs + ); + +---------------------------------------- +-- +-- Timer Module +-- +---------------------------------------- +my_timer : timer port map ( + clk => cpu_clk, + rst => cpu_reset, + cs => timer_cs, + rw => cpu_rw, + addr => cpu_addr(0), + data_in => cpu_data_out, + data_out => timer_data_out, + irq => timer_irq + ); + +---------------------------------------- +-- +-- Bus Trap Interrupt logic +-- +---------------------------------------- +my_trap : trap port map ( + clk => cpu_clk, + rst => cpu_reset, + cs => trap_cs, + rw => cpu_rw, + vma => cpu_vma, + addr => cpu_addr, + data_in => cpu_data_out, + data_out => trap_data_out, + irq => trap_irq + ); + + +-- +-- 12.5 MHz CPU clock +-- +cpu_clk_buffer : BUFG port map( + i => clock_div(1), + o => cpu_clk + ); + +-- +-- 25 MHz VGA Pixel clock +-- +vga_clk_buffer : BUFG port map( + i => clock_div(0), + o => vga_clk + ); + +---------------------------------------------------------------------- +-- +-- Process to decode memory map +-- +---------------------------------------------------------------------- + +mem_decode: process( cpu_clk, Reset_n, dat_addr, + cpu_addr, cpu_rw, cpu_vma, + rom_data_out, + ram0_data_out, ram1_data_out, + uart0_data_out, uart1_data_out, + keyboard_data_out, + vdu_data_out, + cf_data_out, + timer_data_out, + trap_data_out ) +variable decode_addr : std_logic_vector(4 downto 0); +begin + decode_addr := dat_addr(3 downto 0) & cpu_addr(11); +-- decode_addr := cpu_addr(15 downto 11); + + cpu_data_in <= (others=>'0'); + rom_cs <= '0'; + dat_cs <= '0'; + ram0_cs <= '0'; + ram1_cs <= '0'; + uart0_cs <= '0'; + uart1_cs <= '0'; + keyboard_cs <= '0'; + vdu_cs <= '0'; + cf_cs <= '0'; + timer_cs <= '0'; + trap_cs <= '0'; + + if cpu_addr( 15 downto 8 ) = "11111111" then + cpu_data_in <= rom_data_out; + rom_cs <= cpu_vma; -- read ROM + dat_cs <= cpu_vma; -- write DAT + else + case decode_addr is + -- + -- SBUG/KBUG/SYS09BUG Monitor ROM $F800 - $FFFF + -- + when "11111" => -- $F800 - $FFFF + cpu_data_in <= rom_data_out; + rom_cs <= cpu_vma; -- read ROM + + -- + -- IO Devices $E000 - $E7FF + -- + when "11100" => -- $E000 - $E7FF + case cpu_addr(7 downto 4) is + -- + -- UART / ACIA $E000 + -- + when "0000" => -- $E000 + cpu_data_in <= uart0_data_out; + uart0_cs <= cpu_vma; + + -- + -- WD1771 FDC sites at $E010-$E01F + -- + + -- + -- Keyboard port $E020 - $E02F + -- + when "0010" => -- $E020 + cpu_data_in <= keyboard_data_out; + keyboard_cs <= cpu_vma; + + -- + -- VDU port $E030 - $E03F + -- + when "0011" => -- $E030 + cpu_data_in <= vdu_data_out; + vdu_cs <= cpu_vma; + + -- + -- Compact Flash $E040 - $E04F + -- + when "0100" => -- $E040 + cpu_data_in <= cf_data_out; + cf_cs <= cpu_vma; + + -- + -- Timer $E050 - $E05F + -- + when "0101" => -- $E050 + cpu_data_in <= timer_data_out; + timer_cs <= cpu_vma; + + -- + -- Bus Trap Logic $E060 - $E06F + -- + when "0110" => -- $E060 + cpu_data_in <= trap_data_out; + trap_cs <= cpu_vma; + + -- + -- UART1 port $E070 - $E07F + -- + when "0111" => -- $E070 + cpu_data_in <= uart1_data_out; + uart1_cs <= cpu_vma; + + when others => -- $E080 to $E7FF + null; + + end case; + -- + -- Everything else is RAM + -- + when others => + case dat_addr(7 downto 6) is + when "00" => + cpu_data_in <= ram0_data_out; + ram0_cs <= cpu_vma; + when "01" => + cpu_data_in <= ram1_data_out; + ram1_cs <= cpu_vma; + when others => + null; + end case; + + end case; + end if; +end process; + + +-- +-- B5-SRAM Control +-- Processes to read and write memory based on bus signals +-- +ram0_process: process( cpu_clk, Reset_n, + cpu_addr, cpu_rw, cpu_vma, cpu_data_out, + dat_addr, + ram0_cs, ram0_wrl, ram0_wru, ram0_data_out ) +begin + ram0_csn <= not( ram0_cs and Reset_n ); + ram0_wrl <= (not cpu_addr(0)) and (not cpu_rw) and cpu_clk; + ram0_wrln <= not (ram0_wrl); + ram0_wru <= cpu_addr(0) and (not cpu_rw) and cpu_clk; + ram0_wrun <= not (ram0_wru); + ram0_addr(16 downto 11) <= dat_addr(5 downto 0); + ram0_addr(10 downto 0) <= cpu_addr(11 downto 1); + + if ram0_wrl = '1' then + ram0_data(7 downto 0) <= cpu_data_out; + else + ram0_data(7 downto 0) <= (others=>'Z'); + end if; + + if ram0_wru = '1' then + ram0_data(15 downto 8) <= cpu_data_out; + else + ram0_data(15 downto 8) <= (others=>'Z'); + end if; + + if cpu_addr(0) = '1' then + ram0_data_out <= ram0_data(15 downto 8); + else + ram0_data_out <= ram0_data(7 downto 0); + end if; +end process; + +-- +-- B3-SRAM Control +-- Processes to read and write memory based on bus signals +-- +ram1_process: process( cpu_clk, Reset_n, + cpu_addr, cpu_rw, cpu_vma, cpu_data_out, + dat_addr, + ram1_cs, ram1_wrl, ram1_wru, ram1_data_out ) +begin + ram1_csn <= not( ram1_cs and Reset_n ); + ram1_wrl <= (not cpu_addr(0)) and (not cpu_rw) and cpu_clk; + ram1_wrln <= not (ram1_wrl); + ram1_wru <= cpu_addr(0) and (not cpu_rw) and cpu_clk; + ram1_wrun <= not (ram1_wru); + ram1_addr(16 downto 11) <= dat_addr(5 downto 0); + ram1_addr(10 downto 0) <= cpu_addr(11 downto 1); + + if ram1_wrl = '1' then + ram1_data(7 downto 0) <= cpu_data_out; + else + ram1_data(7 downto 0) <= (others=>'Z'); + end if; + + if ram1_wru = '1' then + ram1_data(15 downto 8) <= cpu_data_out; + else + ram1_data(15 downto 8) <= (others=>'Z'); + end if; + + if cpu_addr(0) = '1' then + ram1_data_out <= ram1_data(15 downto 8); + else + ram1_data_out <= ram1_data(7 downto 0); + end if; +end process; + +-- +-- Compact Flash Control +-- +compact_flash: process( Reset_n, + cpu_addr, cpu_rw, cpu_vma, cpu_data_out, + cf_cs, cf_rd, cf_wr, cf_d ) +begin + cf_rst_n <= Reset_n; + cf_cs0_n <= not( cf_cs ) or cpu_addr(3); + cf_cs1_n <= not( cf_cs and cpu_addr(3)); + cf_wr <= cf_cs and (not cpu_rw); + cf_rd <= cf_cs and cpu_rw; + cf_wr_n <= not cf_wr; + cf_rd_n <= not cf_rd; + cf_a <= cpu_addr(2 downto 0); + if cf_wr = '1' then + cf_d(7 downto 0) <= cpu_data_out; + cf_d(15 downto 8) <= (others => '0'); + else + cf_d(7 downto 0) <= (others => 'Z'); + cf_d(15 downto 8) <= (others => 'Z'); + end if; + cf_data_out <= cf_d(7 downto 0); +end process; + +-- +-- Hold CF access for a few cycles +-- +cf_hold_proc: process( cpu_clk, Reset_n ) +begin + if Reset_n = '0' then + cf_release <= '0'; + cf_count <= "0000"; + cf_hold_state <= hold_release_state; + elsif cpu_clk'event and cpu_clk='0' then + case cf_hold_state is + when hold_release_state => + cf_release <= '0'; + if cf_cs = '1' then + cf_count <= "0011"; + cf_hold_state <= hold_request_state; + end if; + + when hold_request_state => + cf_count <= cf_count - "0001"; + if cf_count = "0000" then + cf_release <= '1'; + cf_hold_state <= hold_release_state; + end if; + when others => + null; + end case; + end if; +end process; + +-- +-- Interrupts and other bus control signals +-- +interrupts : process( Reset_n, + cf_cs, cf_hold, cf_release, + uart0_irq, uart1_irq, + trap_irq, timer_irq, keyboard_irq + ) +begin + cf_hold <= cf_cs and (not cf_release); + cpu_reset <= not Reset_n; -- CPU reset is active high + cpu_irq <= uart0_irq or uart1_irq or keyboard_irq; + cpu_nmi <= trap_irq; + cpu_firq <= timer_irq; + cpu_halt <= '0'; + cpu_hold <= cf_hold; +end process; + + +-- +-- flash led to indicate code is working +-- +my_LED_Flasher: process (cpu_clk, CountL ) +begin + if(cpu_clk'event and cpu_clk = '0') then + countL <= countL + 1; + end if; + LED <= countL(23); +end process; + +-- +-- Clock divider +-- +my_clock_divider: process( SysClk ) +begin + if SysClk'event and SysClk='0' then + clock_div <= clock_div + "01"; + end if; +end process; +-- +-- Assign VDU VGA colour output +-- only 8 colours are handled. +-- +my_vga_out: process( vga_red, vga_green, vga_blue ) +begin + vga0_red <= vga_red & vga_red; + vga0_green <= vga_green & vga_green; + vga0_blue <= vga_blue & vga_blue; + uart0_dcd_n <= '0'; + uart1_dcd_n <= '0'; +end process; + +end rtl; --===================== End of architecture =======================-- + Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/transcript =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/transcript (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/transcript (revision 104) @@ -0,0 +1,46 @@ +# Reading G:/Modeltech_xe_starter/tcl/vsim/pref.tcl +# do acia_6850_testbench.fdo +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004 +# -- Loading package standard +# -- Loading package std_logic_1164 +# -- Loading package std_logic_arith +# -- Loading package std_logic_unsigned +# -- Compiling entity acia_rx +# -- Compiling architecture rtl of acia_rx +# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004 +# -- Loading package standard +# -- Loading package std_logic_1164 +# -- Loading package numeric_std +# -- Loading package std_logic_arith +# -- Loading package std_logic_unsigned +# -- Compiling entity acia_tx +# -- Compiling architecture rtl of acia_tx +# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004 +# -- Loading package standard +# -- Loading package std_logic_1164 +# -- Loading package numeric_std +# -- Compiling entity acia_6850 +# -- Compiling architecture rtl of acia_6850 +# Model Technology ModelSim XE III vcom 6.0a Compiler 2004.11 Nov 10 2004 +# -- Loading package standard +# -- Loading package std_logic_1164 +# -- Loading package std_logic_arith +# -- Loading package std_logic_unsigned +# -- Loading package numeric_std +# -- Compiling entity acia_6850_testbench +# -- Compiling architecture behavior of acia_6850_testbench +# vsim -lib work -t 1ps acia_6850_testbench +# Loading G:\Modeltech_xe_starter\win32xoem/../std.standard +# Loading G:\Modeltech_xe_starter\win32xoem/../ieee.std_logic_1164(body) +# Loading G:\Modeltech_xe_starter\win32xoem/../ieee.std_logic_arith(body) +# Loading G:\Modeltech_xe_starter\win32xoem/../ieee.std_logic_unsigned(body) +# Loading G:\Modeltech_xe_starter\win32xoem/../ieee.numeric_std(body) +# Loading work.acia_6850_testbench(behavior) +# Loading work.acia_6850(rtl) +# Loading work.acia_rx(rtl) +# Loading work.acia_tx(rtl) +# .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs +# .main_pane.workspace +# .main_pane.signals.interior.cs +run -all Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise_ISE_Backup =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise_ISE_Backup =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise_ISE_Backup (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise_ISE_Backup (revision 104)
System09/trunk/rtl/System09_BurchED_B5-X300_2/System09_BurchED_B5-X300.ise_ISE_Backup Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/__projnav/sumrpt_tcl.rsp =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/__projnav/sumrpt_tcl.rsp (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/__projnav/sumrpt_tcl.rsp (revision 104) @@ -0,0 +1 @@ +set ADucfFile {System09_BurchED_B5-X300.ucf} Index: System09/trunk/rtl/System09_BurchED_B5-X300_2/__projnav/runXst_tcl.rsp =================================================================== --- System09/trunk/rtl/System09_BurchED_B5-X300_2/__projnav/runXst_tcl.rsp (nonexistent) +++ System09/trunk/rtl/System09_BurchED_B5-X300_2/__projnav/runXst_tcl.rsp (revision 104) @@ -0,0 +1 @@ +set allSynthModules {cpu09.MOD mon_rom.MOD dat_ram.MOD acia_rx.MOD acia_tx.MOD acia_6850.MOD bit_funcs.MOD acia_clock.MOD keymap_rom.MOD ps2_keyboard_interface.MOD keyboard.MOD char_rom.MOD ram_2k.MOD vdu8.MOD timer.MOD trap.MOD system09.MOD}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.