OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /System09/trunk/rtl/System09_Digilent_3S200
    from Rev 92 to Rev 94
    Reverse comparison

Rev 92 → Rev 94

/System09_Digilent_3S200.vhd
269,8 → 269,8
cs : in std_logic;
rw : in std_logic;
addr : in std_logic_vector (11 downto 0);
rdata : out std_logic_vector (7 downto 0);
wdata : in std_logic_vector (7 downto 0)
data_out : out std_logic_vector (7 downto 0);
data_in : in std_logic_vector (7 downto 0)
);
end component;
 
287,8 → 287,8
cs : in std_logic;
rw : in std_logic;
addr : in std_logic_vector (12 downto 0);
rdata : out std_logic_vector (7 downto 0);
wdata : in std_logic_vector (7 downto 0)
data_out : out std_logic_vector (7 downto 0);
data_in : in std_logic_vector (7 downto 0)
);
end component;
 
471,8 → 471,8
cs => rom_cs,
rw => '1',
addr => cpu_addr(11 downto 0),
rdata => rom_data_out,
wdata => cpu_data_out
data_out => rom_data_out,
data_in => cpu_data_out
);
 
my_flex : flex_ram port map (
481,8 → 481,8
cs => flex_cs,
rw => cpu_rw,
addr => cpu_addr(12 downto 0),
rdata => flex_data_out,
wdata => cpu_data_out
data_out => flex_data_out,
data_in => cpu_data_out
);
 
my_dat : dat_ram port map (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.