OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /System09/trunk/rtl/System09_Digilent_3S200
    from Rev 99 to Rev 111
    Reverse comparison

Rev 99 → Rev 111

/my_system09.ut File deleted
/my_system09.prj File deleted
/my_system09.lso File deleted
/my_system09.xst File deleted
/my_system09.ise Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
my_system09.ise Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: System09_Digilent_3S200.vhd =================================================================== --- System09_Digilent_3S200.vhd (revision 99) +++ System09_Digilent_3S200.vhd (revision 111) @@ -157,7 +157,7 @@ use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; -entity my_system09 is +entity system09 is port( sys_clk : in Std_Logic; -- System Clock input rst_sw : in Std_logic; -- Master Reset input (active high) @@ -201,12 +201,12 @@ segments : out std_logic_vector(7 downto 0); digits : out std_logic_vector(3 downto 0) ); -end my_system09; +end system09; ------------------------------------------------------------------------------- -- Architecture for System09 ------------------------------------------------------------------------------- -architecture my_computer of my_system09 is +architecture my_computer of system09 is ----------------------------------------------------------------------------- -- constants -----------------------------------------------------------------------------
/system09.ut
0,0 → 1,29
-m
-w
-g DebugBitstream:No
-g Binary:no
-g CRC:Enable
-g ConfigRate:6
-g CclkPin:PullUp
-g M0Pin:PullUp
-g M1Pin:PullUp
-g M2Pin:PullUp
-g ProgPin:PullUp
-g DonePin:PullUp
-g TckPin:PullUp
-g TdiPin:PullUp
-g TdoPin:PullUp
-g TmsPin:PullUp
-g UnusedPin:PullDown
-g UserID:0xFFFFFFFF
-g DCMShutdown:Disable
-g DCIUpdateMode:AsRequired
-g StartUpClk:CClk
-g DONE_cycle:4
-g GTS_cycle:5
-g GWE_cycle:6
-g LCK_cycle:NoWait
-g Match_cycle:Auto
-g Security:None
-g DonePipe:No
-g DriveDone:No
/system09.prj
0,0 → 1,15
vhdl work "../VHDL/bit_funcs.vhd"
vhdl work "../VHDL/ACIA_Clock.vhd"
vhdl work "../Spartan3/keymap_rom512_b4.vhd"
vhdl work "../../src/sys09bug/sys09s3s.vhd"
vhdl work "../../src/Flex9/flex9ram.vhd"
vhdl work "../VHDL/ps2_keyboard.vhd"
vhdl work "../Spartan3/ram2k_b16.vhd"
vhdl work "../Spartan3/char_rom2k_b16.vhd"
vhdl work "../VHDL/vdu8.vhd"
vhdl work "../VHDL/keyboard.vhd"
vhdl work "../VHDL/datram.vhd"
vhdl work "../VHDL/cpu09.vhd"
vhdl work "../VHDL/SevenSegment.vhd"
vhdl work "../VHDL/acia6850.vhd"
vhdl work "System09_Digilent_3S200.vhd"
/system09.lso
0,0 → 1,15
work
/system09.xst
0,0 → 1,53
set -tmpdir "./xst/projnav.tmp"
set -xsthdpdir "./xst"
run
-ifn system09.prj
-ifmt mixed
-ofn system09
-ofmt NGC
-p xc3s200-5-ft256
-top system09
-opt_mode Speed
-opt_level 1
-iuc NO
-lso system09.lso
-keep_hierarchy NO
-rtlview Yes
-glob_opt AllClockNets
-read_cores YES
-write_timing_constraints NO
-cross_clock_analysis NO
-hierarchy_separator /
-bus_delimiter <>
-case maintain
-slice_utilization_ratio 100
-verilog2001 YES
-fsm_extract YES -fsm_encoding Auto
-safe_implementation No
-fsm_style lut
-ram_extract Yes
-ram_style Distributed
-rom_extract Yes
-mux_style Auto
-decoder_extract YES
-priority_extract YES
-shreg_extract YES
-shift_extract YES
-xor_collapse YES
-rom_style Distributed
-mux_extract YES
-resource_sharing YES
-mult_style auto
-iobuf YES
-max_fanout 500
-bufg 8
-register_duplication YES
-register_balancing No
-slice_packing YES
-optimize_primitives NO
-use_clock_enable Yes
-use_sync_set Yes
-use_sync_reset Yes
-iob auto
-equivalent_register_removal YES
-slice_utilization_ratio_maxmargin 5
/system09.ise Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
system09.ise Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: Makefile =================================================================== --- Makefile (revision 99) +++ Makefile (revision 111) @@ -38,7 +38,7 @@ # # This name must match the name of the design in Xilinx ISE (case # sensitive). -DESIGN_NAME := my_system09 +DESIGN_NAME := system09 # # Constraint file (unfortunately it cannot be extracted from ISE) UCF_FILE := System09_Digilent_3S200.ucf @@ -106,7 +106,7 @@ clean: -$(MAKE) -C ../../src/sys09bug clean -$(MAKE) -C ../../src/Flex9 clean - -$(RM) *.ncd *.ngc *.ngd *.twr *.bit *.mcs *.stx *.ucf.untf *.mrp + -$(RM) *.ncd *.ngc *.ngd *.twr *.bit *.mcs *.stx *.ucf.untf *.mrp *.xrpt -$(RM) *.ncl *.ngm *.prm *_pad.txt *.twx *.log *.syr *.par *.exo *.xpi -$(RM) *.cmd_log *.ngr *.bld *_summary.html *.nc1 *.pcf *.bgn -$(RM) *.pad *.placed_ncd_tracker *.routed_ncd_tracker *_pad.csv *.drc

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.