OpenCores
URL https://opencores.org/ocsvn/aes_highthroughput_lowarea/aes_highthroughput_lowarea/trunk

Subversion Repositories aes_highthroughput_lowarea

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /aes_highthroughput_lowarea
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/trunk/verilog/bench/tb_kat.v
0,0 → 1,453
`timescale 1ns / 10ps
module test ();
 
// define input list file name
`define IN_FILE "KAT_files.txt"
 
// global definitions
`define EOF -1
`define CHAR_CR 8'h0d
`define CHAR_LF 8'h0a
`define CHAR_0 8'h30
`define CHAR_9 8'h39
`define CHAR_Eq 8'h3D
`define CHAR_A 8'h41
`define CHAR_F 8'h46
`define CHAR_Z 8'h5A
`define CHAR_a 8'h61
`define CHAR_f 8'h66
`define CHAR_z 8'h7A
 
// test bench signals
reg clk;
reg reset;
reg [255:0] in_file_name;
reg key_start, enc_dec, data_in_valid, enable, test_start;
reg [255:0] key_in;
reg [1:0] key_mode;
reg [127:0] data_in, exp_data_out, init_vec, tmp_val;
wire [127:0] data_out;
wire key_ready, ready_out;
reg [15:0] param_name;
integer listfid, infid, intmp, tst_count, key_len;
 
// function to read a line from an input file
function [255:0] fgetl;
input [31:0] fileid;
integer intint;
reg [255:0] outline;
begin
// init output line
outline = 0;
// pass over any CR and LF characters
intint = $fgetc(fileid);
while (((intint == `CHAR_CR) || (intint == `CHAR_LF)) && (intint != `EOF))
intint = $fgetc(fileid);
// update output line
while ((intint != `CHAR_CR) && (intint != `CHAR_LF) && (intint != `EOF))
begin
outline[255:8] = outline[247:0];
outline[7:0] = intint;
intint = $fgetc(fileid);
end
// return the line read
fgetl = outline;
end
endfunction
 
// function to read the next field name from the input file
function [15:0] fgetfield;
input [31:0] fileid;
integer intint;
reg [15:0] outfield;
begin
// search for the start of the new line
intint = $fgetc(fileid);
while ((intint != `CHAR_CR) && (intint != `CHAR_LF) && (intint != `EOF))
intint = $fgetc(fileid);
while (((intint < `CHAR_A) || (intint > `CHAR_Z)) && (intint != `EOF))
intint = $fgetc(fileid);
// the first two characters of the new line are the next field name
outfield[15:8] = intint;
intint = $fgetc(fileid);
outfield[7:0] = intint;
// return the result
fgetfield = outfield;
end
endfunction
 
// function to read the file to the start of the parameter value
function [7:0] fgetparam;
input [31:0] fileid;
integer intint;
begin
// search for the equal sign
intint = $fgetc(fileid);
while (intint != `CHAR_Eq)
intint = $fgetc(fileid);
// read first char of parameter
while ((intint < `CHAR_0) || ((intint > `CHAR_9) && (intint < `CHAR_A)) ||
((intint > `CHAR_Z) && (intint < `CHAR_a)) || (intint > `CHAR_z))
intint = $fgetc(fileid);
 
// return the read character
fgetparam = intint;
end
endfunction
 
// function to convert a character to its HEX value
function [7:0] char2val;
input [7:0] char_val;
integer out_val;
begin
if ((char_val >= `CHAR_0) && (char_val <= `CHAR_9))
out_val = char_val - `CHAR_0;
else if ((char_val >= `CHAR_A) && (char_val <= `CHAR_F))
out_val = char_val - `CHAR_A + 'd10;
else if ((char_val >= `CHAR_a) && (char_val <= `CHAR_f))
out_val = char_val - `CHAR_a + 'd10;
else
out_val = 0;
// return the resulting value
char2val = out_val;
end
endfunction
 
// global clock generator
initial clk = 1'b1;
always #10 clk = ~clk;
 
// gloabl reset generator
initial
begin
reset = 1'b1;
#100;
reset = 1'b0;
end
 
// cosmetics
initial
begin
// announce start of simulation
$display("");
$display("-------------------------------------");
$display(" AES_HT_LA Simulation");
$display("-------------------------------------");
$display("");
// VCD dump
$dumpfile("test.vcd");
$dumpvars(0, test);
$display("");
end
 
// main test bench contorl module
initial
begin
// signals reset values
enc_dec = 1'b0; // 0: encryption; 1: decryption
key_mode = 'b0; // 0: 128; 1: 192; 2: 256
key_in = 'b0;
key_start = 1'b0;
data_in_valid = 1'b0;
data_in = 'b0;
enable = 1;
test_start = 0;
@(posedge clk);
// wait for global reset
wait (reset == 1'b0);
repeat (10) @(posedge clk);
// open input list file
listfid = $fopen(`IN_FILE, "rb");
// read first input filename
in_file_name = fgetl(listfid);
// loop through input files
while (in_file_name != 0)
begin
// announce start of simulation for the current file
$display("Starting simulation for input file: %0s", in_file_name);
$display("--------------------------------------------------------------------------");
$display("");
// open current simulation input file
infid = $fopen(in_file_name, "rb");
// read core mode for the current file
intmp = $fgetc(infid); // first char is "["
intmp = $fgetc(infid); // second char should be either "E" or "D"
// check read character for mode of operation
if (intmp == "E")
// set flag accordingly
enc_dec = 1'b0;
else if (intmp == "D")
// set flag accordingly
enc_dec = 1'b1;
else
begin
// no valid mode was found, announce error and quit simulation
$display("ERROR: Simulation mode could not be determined!");
$finish;
end
// repeat reading the file till end of file
param_name = fgetfield(infid);
while (param_name != `EOF)
begin
// clear test start flag
test_start = 0;
// check read parameter name
if (param_name == "CO")
begin
// init test count
tst_count = 0;
// get file pointer to the start of value
intmp = fgetparam(infid);
// update test count value
while ((intmp >= `CHAR_0) && (intmp <= `CHAR_9))
begin
tst_count = (tst_count * 10) + (intmp - `CHAR_0);
intmp = $fgetc(infid);
end
end
else if (param_name == "KE")
begin
// init key value and length
key_in = 0;
key_len = 0;
// get file pointer to the start of value
intmp = fgetparam(infid);
// update key value & length
while (((intmp >= `CHAR_0) && (intmp <= `CHAR_9)) ||
((intmp >= `CHAR_A) && (intmp <= `CHAR_F)) ||
((intmp >= `CHAR_a) && (intmp <= `CHAR_f)))
begin
key_in[255:4] = key_in[251:0];
key_in[3:0] = char2val(intmp);
key_len = key_len + 4;
intmp = $fgetc(infid);
end
// check key length to see if it is legal and if the key needs zero padding
if (key_len == 'd128)
begin
// update key value and mode
key_in = {key_in[127:0], 128'b0};
key_mode = 2'd0;
end
else if (key_len == 'd192)
begin
// update key value and mode
key_in = {key_in[191:0], 64'b0};
key_mode = 2'd1;
end
else if (key_len == 'd256)
begin
// update key mode
key_mode = 2'd2;
end
else
begin
// illegal key length error
$display("ERROR: Illegal key length at test %0d (%0d)", tst_count, key_len);
$finish;
end
end
else if (param_name == "IV")
begin
// init init vector value
init_vec = 0;
// get file pointer to the start of value
intmp = fgetparam(infid);
// update init vector value
while (((intmp >= `CHAR_0) && (intmp <= `CHAR_9)) ||
((intmp >= `CHAR_A) && (intmp <= `CHAR_F)) ||
((intmp >= `CHAR_a) && (intmp <= `CHAR_f)))
begin
init_vec[127:4] = init_vec[123:0];
init_vec[3:0] = char2val(intmp);
intmp = $fgetc(infid);
end
end
else if (param_name == "CI")
begin
// init temp value
tmp_val = 0;
// get file pointer to the start of value
intmp = fgetparam(infid);
// update temp value
while (((intmp >= `CHAR_0) && (intmp <= `CHAR_9)) ||
((intmp >= `CHAR_A) && (intmp <= `CHAR_F)) ||
((intmp >= `CHAR_a) && (intmp <= `CHAR_f)))
begin
tmp_val[127:4] = tmp_val[123:0];
tmp_val[3:0] = char2val(intmp);
intmp = $fgetc(infid);
end
// check simulation mode to determine if this is the last value and if
// it is the data input or the expected data
if (enc_dec == 1'b0)
begin
// for encryption the CIPHERTEXT is the expected result and the
// simulation should start
exp_data_out = tmp_val;
test_start = 1'b1;
end
else
// for decryption the CIPHERTEXT is the input data
data_in = tmp_val;
end
else if (param_name == "PL")
begin
// init temp value
tmp_val = 0;
// get file pointer to the start of value
intmp = fgetparam(infid);
// update temp value
while (((intmp >= `CHAR_0) && (intmp <= `CHAR_9)) ||
((intmp >= `CHAR_A) && (intmp <= `CHAR_F)) ||
((intmp >= `CHAR_a) && (intmp <= `CHAR_f)))
begin
tmp_val[127:4] = tmp_val[123:0];
tmp_val[3:0] = char2val(intmp);
intmp = $fgetc(infid);
end
// check simulation mode to determine if this is the last value and if
// it is the data input or the expected data
if (enc_dec == 1'b0)
// for encryption the PLAINTEXT is the input data
data_in = tmp_val;
else
begin
// for decryption the PLAINTEXT is the expected result and the
// simulation should start
exp_data_out = tmp_val;
test_start = 1'b1;
end
end
else
begin
// no matching parameter was found
$display("ERROR: Could not find a matching parameter after test %0d", tst_count);
$finish;
end
// check if simulation should start
if (test_start)
begin
// run core simulation
repeat (10) @(posedge clk);
// update input key
key_start <= 1'b1;
@ (posedge clk);
key_start <= 1'b0;
@ (posedge clk);
// wait for key to be ready
while (!key_ready)
@(posedge clk);
// sign input data is valid
data_in_valid <= 1'b1;
@(posedge clk);
data_in_valid <= 1'b0;
repeat (3) @ (posedge clk);
// wait for result to be ready
while (!data_out_valid)
@ (posedge clk);
@ (posedge clk);
// check expected result
if (exp_data_out != data_out)
begin
// data output error
$display("ERROR: Expected data output error at test %0d", tst_count);
repeat (10) @(posedge clk);
$finish;
end
else
begin
$display("Test finished OK!");
$display("");
end
end
// read next parameter name
param_name = fgetfield(infid);
end
// close input file
$fclose(infid);
// read next input filename
in_file_name = fgetl(listfid);
end
// close input list file
$fclose(listfid);
// finish simulation
$finish;
end
 
aes dut(
.clk(clk),
.reset(reset),
.i_start(key_start),
.i_enable(enable), //TBD
.i_ende(enc_dec),
.i_key(key_in),
.i_key_mode(key_mode),
.i_data(data_in),
.i_data_valid(data_in_valid),
.o_ready(ready_out),
.o_data(data_out),
.o_data_valid(data_out_valid),
.o_key_ready(key_ready)
);
 
// display mode of operation, input key length and value
always @ (posedge clk)
if (key_start)
begin
// display mode of operation
if (enc_dec)
$display("Decryption test, count %0d, in file %0s", tst_count, in_file_name);
else
$display("Encryption test, count %0d, in file %0s", tst_count, in_file_name);
// display key size
case (key_mode)
2'b00: $display("Key size is 128 bits");
2'b01: $display("Key size is 192 bits");
2'b10: $display("Key size is 256 bits");
2'b11: $display("ERROR: Illegal key size");
endcase
// display key value
$display("Key In: %16h",key_in);
end
 
// display input data
always @ (posedge clk)
if (data_in_valid)
$display("Data In: %16h",data_in);
 
// display output data
always @ (posedge clk)
if (data_out_valid)
$display("Data Out: %16h",data_out);
 
endmodule
/trunk/verilog/bench/tb.v
2,7 → 2,7
module tb ();
 
reg clk;
reg reset_n;
reg reset;
reg [7:0] din;
wire [7:0] dout;
 
19,10 → 19,10
key_in = 1'b0;
key_start = 1'b0;
data_in_valid = 1'b0;
reset_n = 1'b0;
reset = 1'b1;
enable = 1;
#100;
reset_n = 1'b1;
reset = 1'b0;
#100;
din = 8'hae;
@ (posedge clk);
83,7 → 83,7
wire [127:0] data_out;
aes dut(
.clk(clk),
.reset_n(reset_n),
.reset(reset),
.i_start(key_start),
.i_enable(enable), //TBD
.i_ende(1'b1),
/trunk/verilog/rtl/sbox.v
14,7 → 14,7
//////////////////////////////////////////////////////////////////////
module sbox(
clk,
reset_n,
reset,
enable,
din,
ende,
22,7 → 22,7
de_dout);
input clk;
input reset_n;
input reset;
input enable;
input [7:0] din;
input ende; //0: encryption; 1: decryption
39,9 → 39,9
assign first_matrix_out[7:0] = GF256_TO_GF16(first_matrix_in[7:0]);
// pipeline 1
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
first_matrix_out_L[7:0] <= 8'b0;
else if (enable)
first_matrix_out_L[7:0] <= first_matrix_out[7:0];
81,9 → 81,9
assign q_new[3:0] = MUL(q[3:0],inv_sump2q2[3:0]);
// pipeline 2
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
{p_new_L[3:0],q_new_L[3:0]} <= 8'b0;
else if (enable)
{p_new_L[3:0],q_new_L[3:0]} <= {p_new[3:0],q_new[3:0]};
/trunk/verilog/rtl/key_exp.v
14,7 → 14,7
//////////////////////////////////////////////////////////////////////
module key_exp (
clk,
reset_n,
reset,
key_in,
key_mode,
key_start,
25,7 → 25,7
);
input clk;
input reset_n;
input reset;
input [255:0] key_in; // initial key value
input [1:0] key_mode; // 0:128, 1:192, 2:256
input key_start;// start key expansion
64,9 → 64,9
assign max_round_p1[3:0] = (key_mode == 2'b00) ? 4'd11 : (key_mode == 2'b01 ? 4'd13 : 4'd15);
// rcon generation
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
begin
rcon[31:0] <= 32'h01000000;
rcon_is_1b <= 1'b0;
97,9 → 97,9
// State machine for Key expansion
//
//
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
begin
state[1:0] <= IDLE;
pstate[1:0] <= IDLE;
146,9 → 146,9
end
// round counter: 10/12/14
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
round[3:0] <= 1'b0;
else if (nstate[1:0] == IDLE)
round[3:0] <= 4'b0;
156,9 → 156,9
round[3:0] <= round[3:0] + 1'b1;
end
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
begin
sbox_in_valid <= 1'b0;
sbox_in[31:0] <= 32'b0;
182,9 → 182,9
sbox_in_valid <= 1'b0;
end
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
valid[4:0] <= 5'b0;
else
valid[4:0] <= {valid[3:0],sbox_in_valid};
191,10 → 191,10
end
assign sbox_out_valid = valid[1];
sbox u_0(.clk(clk),.reset_n(reset_n),.enable(1'b1),.din(sbox_in[7:0]),.ende(1'b0),.en_dout(sbox_out[7:0]),.de_dout());
sbox u_1(.clk(clk),.reset_n(reset_n),.enable(1'b1),.din(sbox_in[15:8]),.ende(1'b0),.en_dout(sbox_out[15:8]),.de_dout());
sbox u_2(.clk(clk),.reset_n(reset_n),.enable(1'b1),.din(sbox_in[23:16]),.ende(1'b0),.en_dout(sbox_out[23:16]),.de_dout());
sbox u_3(.clk(clk),.reset_n(reset_n),.enable(1'b1),.din(sbox_in[31:24]),.ende(1'b0),.en_dout(sbox_out[31:24]),.de_dout());
sbox u_0(.clk(clk),.reset(reset),.enable(1'b1),.din(sbox_in[7:0]),.ende(1'b0),.en_dout(sbox_out[7:0]),.de_dout());
sbox u_1(.clk(clk),.reset(reset),.enable(1'b1),.din(sbox_in[15:8]),.ende(1'b0),.en_dout(sbox_out[15:8]),.de_dout());
sbox u_2(.clk(clk),.reset(reset),.enable(1'b1),.din(sbox_in[23:16]),.ende(1'b0),.en_dout(sbox_out[23:16]),.de_dout());
sbox u_3(.clk(clk),.reset(reset),.enable(1'b1),.din(sbox_in[31:24]),.ende(1'b0),.en_dout(sbox_out[31:24]),.de_dout());
/*****************************************************************************/
// key expansion calculation
211,9 → 211,9
assign w6_next[31:0] = w5_next2[31:0]^w6[31:0];
assign w7_next[31:0] = w6_next[31:0]^w7[31:0];
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
begin
{w0[31:0],w1[31:0],w2[31:0],w3[31:0],w4[31:0],w5[31:0],w6[31:0],w7[31:0]} <= 256'b0;
end
265,9 → 265,9
assign wr_data2[63:0] = wr_256 ?{w6[31:0],w7[31:0]} : {w2[31:0],w3[31:0]};
assign wr_data3[63:0] = {w4[31:0],w5[31:0]};
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
wr_256 <= 1'b0;
else if (key_start)
wr_256 <= 1'b0;
277,25 → 277,25
wr_256 <= 1'b0;
end
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
{key_start_L3,key_start_L2,key_start_L} <= 3'b0;
else
{key_start_L3,key_start_L2,key_start_L} <= {key_start_L2,key_start_L,key_start};
end
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
wr <= 1'b0;
else
wr <= wr1 || wr2 || wr3 || init_wr1 || init_wr2 || init_wr3 || init_wr4;
end
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
begin
wr_data[63:0] <= 64'b0;
end
318,9 → 318,9
end
end
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
wr_addr[4:0] <= 5'b0;
else if (key_start)
wr_addr[4:0] <= 5'd0;
328,9 → 328,9
wr_addr[4:0] <= wr_addr[4:0] + 1'b1;
end
always @ (posedge clk or negedge reset_n)
always @ (posedge clk or posedge reset)
begin
if (!reset_n)
if (reset)
key_ready <= 1'b0;
else if (key_start)
key_ready <= 1'b0;
/trunk/verilog/rtl/aes.v
12,6 → 12,11
//// - Luo Dongjun, dongjun_luo@hotmail.com ////
//// ////
//////////////////////////////////////////////////////////////////////
 
// uncomment the following define to enable use of distributed RAM implementation
// for XILINX FPGAs instead of block memory.
`define XILINX 1
 
module aes (
clk,
reset,
82,7 → 87,7
begin : sbox_block
sbox u_sbox (
.clk(clk),
.reset_n(~reset),
.reset(reset),
.enable(i_enable),
.ende(i_ende),
.din(o_data[i*8+7:i*8]),
209,7 → 214,6
// 2 16*64 rams or 1 16*128 rams
//
//assign rd_addr[3:0] = i_ende ? (max_round[3:0] - sb_round_cnt2[3:0]) : sb_round_cnt2[3:0];
`define XILINX 1
 
assign round_key[127:0] = {rd_data0[63:0],rd_data1[63:0]};
 
290,7 → 294,7
//
key_exp u_key_exp (
.clk(clk),
.reset_n(~reset),
.reset(reset),
.key_in(i_key[255:0]),
.key_mode(i_key_mode[1:0]),
.key_start(i_start),
/trunk/verilog/sim/rtl.fl
1,5 → 1,6
+incdir+rtl
./rtl/sbox.v
./rtl/ram_16x64.v
./rtl/key_exp.v
./rtl/aes.v
../rtl/sbox.v
../rtl/ram_16x64.v
../rtl/key_exp.v
../rtl/aes.v
../sim/tb.v
/trunk/verilog/sim/icarus/KAT_files.txt
0,0 → 1,24
../KAT_AES/ECBGFSbox128d.txt
../KAT_AES/ECBGFSbox128e.txt
../KAT_AES/ECBGFSbox192d.txt
../KAT_AES/ECBGFSbox192e.txt
../KAT_AES/ECBGFSbox256d.txt
../KAT_AES/ECBGFSbox256e.txt
../KAT_AES/ECBKeySbox128d.txt
../KAT_AES/ECBKeySbox128e.txt
../KAT_AES/ECBKeySbox192d.txt
../KAT_AES/ECBKeySbox192e.txt
../KAT_AES/ECBKeySbox256d.txt
../KAT_AES/ECBKeySbox256e.txt
../KAT_AES/ECBVarKey128d.txt
../KAT_AES/ECBVarKey128e.txt
../KAT_AES/ECBVarKey192d.txt
../KAT_AES/ECBVarKey192e.txt
../KAT_AES/ECBVarKey256d.txt
../KAT_AES/ECBVarKey256e.txt
../KAT_AES/ECBVarTxt128d.txt
../KAT_AES/ECBVarTxt128e.txt
../KAT_AES/ECBVarTxt192d.txt
../KAT_AES/ECBVarTxt192e.txt
../KAT_AES/ECBVarTxt256d.txt
../KAT_AES/ECBVarTxt256e.txt
/trunk/verilog/sim/icarus/comp_kat.bat
0,0 → 1,24
iverilog -o test.vvp -cblock_kat.cfg
/trunk/verilog/sim/icarus/block_kat.cfg
0,0 → 1,9
+incdir+..\..\bench
..\..\rtl\mix_columns.v
..\..\rtl\shift_rows.v
..\..\rtl\inv_shift_rows.v
..\..\rtl\sbox.v
..\..\rtl\xram_16x64.v
..\..\rtl\key_exp.v
..\..\rtl\aes.v
..\..\bench\tb_kat.v
/trunk/verilog/sim/icarus/block.cfg
0,0 → 1,9
+incdir+..\..\bench
..\..\rtl\mix_columns.v
..\..\rtl\shift_rows.v
..\..\rtl\inv_shift_rows.v
..\..\rtl\sbox.v
..\..\rtl\xram_16x64.v
..\..\rtl\key_exp.v
..\..\rtl\aes.v
..\..\bench\tb.v
/trunk/verilog/sim/icarus/run.bat
0,0 → 1,9
vvp -l test.log test.vvp
/trunk/verilog/sim/icarus/gtk.bat
0,0 → 1,9
gtkwave test.vcd -a test.sav
/trunk/verilog/sim/icarus/compile.bat
0,0 → 1,9
iverilog -o test.vvp -cblock.cfg
/trunk/verilog/sim/KAT_AES/ECBVarTxt128d.txt
0,0 → 1,641
[DECRYPT]
 
COUNT = 0
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3ad78e726c1ec02b7ebfe92b23d9ec34
PLAINTEXT = 80000000000000000000000000000000
 
COUNT = 1
KEY = 00000000000000000000000000000000
CIPHERTEXT = aae5939c8efdf2f04e60b9fe7117b2c2
PLAINTEXT = c0000000000000000000000000000000
 
COUNT = 2
KEY = 00000000000000000000000000000000
CIPHERTEXT = f031d4d74f5dcbf39daaf8ca3af6e527
PLAINTEXT = e0000000000000000000000000000000
 
COUNT = 3
KEY = 00000000000000000000000000000000
CIPHERTEXT = 96d9fd5cc4f07441727df0f33e401a36
PLAINTEXT = f0000000000000000000000000000000
 
COUNT = 4
KEY = 00000000000000000000000000000000
CIPHERTEXT = 30ccdb044646d7e1f3ccea3dca08b8c0
PLAINTEXT = f8000000000000000000000000000000
 
COUNT = 5
KEY = 00000000000000000000000000000000
CIPHERTEXT = 16ae4ce5042a67ee8e177b7c587ecc82
PLAINTEXT = fc000000000000000000000000000000
 
COUNT = 6
KEY = 00000000000000000000000000000000
CIPHERTEXT = b6da0bb11a23855d9c5cb1b4c6412e0a
PLAINTEXT = fe000000000000000000000000000000
 
COUNT = 7
KEY = 00000000000000000000000000000000
CIPHERTEXT = db4f1aa530967d6732ce4715eb0ee24b
PLAINTEXT = ff000000000000000000000000000000
 
COUNT = 8
KEY = 00000000000000000000000000000000
CIPHERTEXT = a81738252621dd180a34f3455b4baa2f
PLAINTEXT = ff800000000000000000000000000000
 
COUNT = 9
KEY = 00000000000000000000000000000000
CIPHERTEXT = 77e2b508db7fd89234caf7939ee5621a
PLAINTEXT = ffc00000000000000000000000000000
 
COUNT = 10
KEY = 00000000000000000000000000000000
CIPHERTEXT = b8499c251f8442ee13f0933b688fcd19
PLAINTEXT = ffe00000000000000000000000000000
 
COUNT = 11
KEY = 00000000000000000000000000000000
CIPHERTEXT = 965135f8a81f25c9d630b17502f68e53
PLAINTEXT = fff00000000000000000000000000000
 
COUNT = 12
KEY = 00000000000000000000000000000000
CIPHERTEXT = 8b87145a01ad1c6cede995ea3670454f
PLAINTEXT = fff80000000000000000000000000000
 
COUNT = 13
KEY = 00000000000000000000000000000000
CIPHERTEXT = 8eae3b10a0c8ca6d1d3b0fa61e56b0b2
PLAINTEXT = fffc0000000000000000000000000000
 
COUNT = 14
KEY = 00000000000000000000000000000000
CIPHERTEXT = 64b4d629810fda6bafdf08f3b0d8d2c5
PLAINTEXT = fffe0000000000000000000000000000
 
COUNT = 15
KEY = 00000000000000000000000000000000
CIPHERTEXT = d7e5dbd3324595f8fdc7d7c571da6c2a
PLAINTEXT = ffff0000000000000000000000000000
 
COUNT = 16
KEY = 00000000000000000000000000000000
CIPHERTEXT = f3f72375264e167fca9de2c1527d9606
PLAINTEXT = ffff8000000000000000000000000000
 
COUNT = 17
KEY = 00000000000000000000000000000000
CIPHERTEXT = 8ee79dd4f401ff9b7ea945d86666c13b
PLAINTEXT = ffffc000000000000000000000000000
 
COUNT = 18
KEY = 00000000000000000000000000000000
CIPHERTEXT = dd35cea2799940b40db3f819cb94c08b
PLAINTEXT = ffffe000000000000000000000000000
 
COUNT = 19
KEY = 00000000000000000000000000000000
CIPHERTEXT = 6941cb6b3e08c2b7afa581ebdd607b87
PLAINTEXT = fffff000000000000000000000000000
 
COUNT = 20
KEY = 00000000000000000000000000000000
CIPHERTEXT = 2c20f439f6bb097b29b8bd6d99aad799
PLAINTEXT = fffff800000000000000000000000000
 
COUNT = 21
KEY = 00000000000000000000000000000000
CIPHERTEXT = 625d01f058e565f77ae86378bd2c49b3
PLAINTEXT = fffffc00000000000000000000000000
 
COUNT = 22
KEY = 00000000000000000000000000000000
CIPHERTEXT = c0b5fd98190ef45fbb4301438d095950
PLAINTEXT = fffffe00000000000000000000000000
 
COUNT = 23
KEY = 00000000000000000000000000000000
CIPHERTEXT = 13001ff5d99806efd25da34f56be854b
PLAINTEXT = ffffff00000000000000000000000000
 
COUNT = 24
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3b594c60f5c8277a5113677f94208d82
PLAINTEXT = ffffff80000000000000000000000000
 
COUNT = 25
KEY = 00000000000000000000000000000000
CIPHERTEXT = e9c0fc1818e4aa46bd2e39d638f89e05
PLAINTEXT = ffffffc0000000000000000000000000
 
COUNT = 26
KEY = 00000000000000000000000000000000
CIPHERTEXT = f8023ee9c3fdc45a019b4e985c7e1a54
PLAINTEXT = ffffffe0000000000000000000000000
 
COUNT = 27
KEY = 00000000000000000000000000000000
CIPHERTEXT = 35f40182ab4662f3023baec1ee796b57
PLAINTEXT = fffffff0000000000000000000000000
 
COUNT = 28
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3aebbad7303649b4194a6945c6cc3694
PLAINTEXT = fffffff8000000000000000000000000
 
COUNT = 29
KEY = 00000000000000000000000000000000
CIPHERTEXT = a2124bea53ec2834279bed7f7eb0f938
PLAINTEXT = fffffffc000000000000000000000000
 
COUNT = 30
KEY = 00000000000000000000000000000000
CIPHERTEXT = b9fb4399fa4facc7309e14ec98360b0a
PLAINTEXT = fffffffe000000000000000000000000
 
COUNT = 31
KEY = 00000000000000000000000000000000
CIPHERTEXT = c26277437420c5d634f715aea81a9132
PLAINTEXT = ffffffff000000000000000000000000
 
COUNT = 32
KEY = 00000000000000000000000000000000
CIPHERTEXT = 171a0e1b2dd424f0e089af2c4c10f32f
PLAINTEXT = ffffffff800000000000000000000000
 
COUNT = 33
KEY = 00000000000000000000000000000000
CIPHERTEXT = 7cadbe402d1b208fe735edce00aee7ce
PLAINTEXT = ffffffffc00000000000000000000000
 
COUNT = 34
KEY = 00000000000000000000000000000000
CIPHERTEXT = 43b02ff929a1485af6f5c6d6558baa0f
PLAINTEXT = ffffffffe00000000000000000000000
 
COUNT = 35
KEY = 00000000000000000000000000000000
CIPHERTEXT = 092faacc9bf43508bf8fa8613ca75dea
PLAINTEXT = fffffffff00000000000000000000000
 
COUNT = 36
KEY = 00000000000000000000000000000000
CIPHERTEXT = cb2bf8280f3f9742c7ed513fe802629c
PLAINTEXT = fffffffff80000000000000000000000
 
COUNT = 37
KEY = 00000000000000000000000000000000
CIPHERTEXT = 215a41ee442fa992a6e323986ded3f68
PLAINTEXT = fffffffffc0000000000000000000000
 
COUNT = 38
KEY = 00000000000000000000000000000000
CIPHERTEXT = f21e99cf4f0f77cea836e11a2fe75fb1
PLAINTEXT = fffffffffe0000000000000000000000
 
COUNT = 39
KEY = 00000000000000000000000000000000
CIPHERTEXT = 95e3a0ca9079e646331df8b4e70d2cd6
PLAINTEXT = ffffffffff0000000000000000000000
 
COUNT = 40
KEY = 00000000000000000000000000000000
CIPHERTEXT = 4afe7f120ce7613f74fc12a01a828073
PLAINTEXT = ffffffffff8000000000000000000000
 
COUNT = 41
KEY = 00000000000000000000000000000000
CIPHERTEXT = 827f000e75e2c8b9d479beed913fe678
PLAINTEXT = ffffffffffc000000000000000000000
 
COUNT = 42
KEY = 00000000000000000000000000000000
CIPHERTEXT = 35830c8e7aaefe2d30310ef381cbf691
PLAINTEXT = ffffffffffe000000000000000000000
 
COUNT = 43
KEY = 00000000000000000000000000000000
CIPHERTEXT = 191aa0f2c8570144f38657ea4085ebe5
PLAINTEXT = fffffffffff000000000000000000000
 
COUNT = 44
KEY = 00000000000000000000000000000000
CIPHERTEXT = 85062c2c909f15d9269b6c18ce99c4f0
PLAINTEXT = fffffffffff800000000000000000000
 
COUNT = 45
KEY = 00000000000000000000000000000000
CIPHERTEXT = 678034dc9e41b5a560ed239eeab1bc78
PLAINTEXT = fffffffffffc00000000000000000000
 
COUNT = 46
KEY = 00000000000000000000000000000000
CIPHERTEXT = c2f93a4ce5ab6d5d56f1b93cf19911c1
PLAINTEXT = fffffffffffe00000000000000000000
 
COUNT = 47
KEY = 00000000000000000000000000000000
CIPHERTEXT = 1c3112bcb0c1dcc749d799743691bf82
PLAINTEXT = ffffffffffff00000000000000000000
 
COUNT = 48
KEY = 00000000000000000000000000000000
CIPHERTEXT = 00c55bd75c7f9c881989d3ec1911c0d4
PLAINTEXT = ffffffffffff80000000000000000000
 
COUNT = 49
KEY = 00000000000000000000000000000000
CIPHERTEXT = ea2e6b5ef182b7dff3629abd6a12045f
PLAINTEXT = ffffffffffffc0000000000000000000
 
COUNT = 50
KEY = 00000000000000000000000000000000
CIPHERTEXT = 22322327e01780b17397f24087f8cc6f
PLAINTEXT = ffffffffffffe0000000000000000000
 
COUNT = 51
KEY = 00000000000000000000000000000000
CIPHERTEXT = c9cacb5cd11692c373b2411768149ee7
PLAINTEXT = fffffffffffff0000000000000000000
 
COUNT = 52
KEY = 00000000000000000000000000000000
CIPHERTEXT = a18e3dbbca577860dab6b80da3139256
PLAINTEXT = fffffffffffff8000000000000000000
 
COUNT = 53
KEY = 00000000000000000000000000000000
CIPHERTEXT = 79b61c37bf328ecca8d743265a3d425c
PLAINTEXT = fffffffffffffc000000000000000000
 
COUNT = 54
KEY = 00000000000000000000000000000000
CIPHERTEXT = d2d99c6bcc1f06fda8e27e8ae3f1ccc7
PLAINTEXT = fffffffffffffe000000000000000000
 
COUNT = 55
KEY = 00000000000000000000000000000000
CIPHERTEXT = 1bfd4b91c701fd6b61b7f997829d663b
PLAINTEXT = ffffffffffffff000000000000000000
 
COUNT = 56
KEY = 00000000000000000000000000000000
CIPHERTEXT = 11005d52f25f16bdc9545a876a63490a
PLAINTEXT = ffffffffffffff800000000000000000
 
COUNT = 57
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3a4d354f02bb5a5e47d39666867f246a
PLAINTEXT = ffffffffffffffc00000000000000000
 
COUNT = 58
KEY = 00000000000000000000000000000000
CIPHERTEXT = d451b8d6e1e1a0ebb155fbbf6e7b7dc3
PLAINTEXT = ffffffffffffffe00000000000000000
 
COUNT = 59
KEY = 00000000000000000000000000000000
CIPHERTEXT = 6898d4f42fa7ba6a10ac05e87b9f2080
PLAINTEXT = fffffffffffffff00000000000000000
 
COUNT = 60
KEY = 00000000000000000000000000000000
CIPHERTEXT = b611295e739ca7d9b50f8e4c0e754a3f
PLAINTEXT = fffffffffffffff80000000000000000
 
COUNT = 61
KEY = 00000000000000000000000000000000
CIPHERTEXT = 7d33fc7d8abe3ca1936759f8f5deaf20
PLAINTEXT = fffffffffffffffc0000000000000000
 
COUNT = 62
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3b5e0f566dc96c298f0c12637539b25c
PLAINTEXT = fffffffffffffffe0000000000000000
 
COUNT = 63
KEY = 00000000000000000000000000000000
CIPHERTEXT = f807c3e7985fe0f5a50e2cdb25c5109e
PLAINTEXT = ffffffffffffffff0000000000000000
 
COUNT = 64
KEY = 00000000000000000000000000000000
CIPHERTEXT = 41f992a856fb278b389a62f5d274d7e9
PLAINTEXT = ffffffffffffffff8000000000000000
 
COUNT = 65
KEY = 00000000000000000000000000000000
CIPHERTEXT = 10d3ed7a6fe15ab4d91acbc7d0767ab1
PLAINTEXT = ffffffffffffffffc000000000000000
 
COUNT = 66
KEY = 00000000000000000000000000000000
CIPHERTEXT = 21feecd45b2e675973ac33bf0c5424fc
PLAINTEXT = ffffffffffffffffe000000000000000
 
COUNT = 67
KEY = 00000000000000000000000000000000
CIPHERTEXT = 1480cb3955ba62d09eea668f7c708817
PLAINTEXT = fffffffffffffffff000000000000000
 
COUNT = 68
KEY = 00000000000000000000000000000000
CIPHERTEXT = 66404033d6b72b609354d5496e7eb511
PLAINTEXT = fffffffffffffffff800000000000000
 
COUNT = 69
KEY = 00000000000000000000000000000000
CIPHERTEXT = 1c317a220a7d700da2b1e075b00266e1
PLAINTEXT = fffffffffffffffffc00000000000000
 
COUNT = 70
KEY = 00000000000000000000000000000000
CIPHERTEXT = ab3b89542233f1271bf8fd0c0f403545
PLAINTEXT = fffffffffffffffffe00000000000000
 
COUNT = 71
KEY = 00000000000000000000000000000000
CIPHERTEXT = d93eae966fac46dca927d6b114fa3f9e
PLAINTEXT = ffffffffffffffffff00000000000000
 
COUNT = 72
KEY = 00000000000000000000000000000000
CIPHERTEXT = 1bdec521316503d9d5ee65df3ea94ddf
PLAINTEXT = ffffffffffffffffff80000000000000
 
COUNT = 73
KEY = 00000000000000000000000000000000
CIPHERTEXT = eef456431dea8b4acf83bdae3717f75f
PLAINTEXT = ffffffffffffffffffc0000000000000
 
COUNT = 74
KEY = 00000000000000000000000000000000
CIPHERTEXT = 06f2519a2fafaa596bfef5cfa15c21b9
PLAINTEXT = ffffffffffffffffffe0000000000000
 
COUNT = 75
KEY = 00000000000000000000000000000000
CIPHERTEXT = 251a7eac7e2fe809e4aa8d0d7012531a
PLAINTEXT = fffffffffffffffffff0000000000000
 
COUNT = 76
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3bffc16e4c49b268a20f8d96a60b4058
PLAINTEXT = fffffffffffffffffff8000000000000
 
COUNT = 77
KEY = 00000000000000000000000000000000
CIPHERTEXT = e886f9281999c5bb3b3e8862e2f7c988
PLAINTEXT = fffffffffffffffffffc000000000000
 
COUNT = 78
KEY = 00000000000000000000000000000000
CIPHERTEXT = 563bf90d61beef39f48dd625fcef1361
PLAINTEXT = fffffffffffffffffffe000000000000
 
COUNT = 79
KEY = 00000000000000000000000000000000
CIPHERTEXT = 4d37c850644563c69fd0acd9a049325b
PLAINTEXT = ffffffffffffffffffff000000000000
 
COUNT = 80
KEY = 00000000000000000000000000000000
CIPHERTEXT = b87c921b91829ef3b13ca541ee1130a6
PLAINTEXT = ffffffffffffffffffff800000000000
 
COUNT = 81
KEY = 00000000000000000000000000000000
CIPHERTEXT = 2e65eb6b6ea383e109accce8326b0393
PLAINTEXT = ffffffffffffffffffffc00000000000
 
COUNT = 82
KEY = 00000000000000000000000000000000
CIPHERTEXT = 9ca547f7439edc3e255c0f4d49aa8990
PLAINTEXT = ffffffffffffffffffffe00000000000
 
COUNT = 83
KEY = 00000000000000000000000000000000
CIPHERTEXT = a5e652614c9300f37816b1f9fd0c87f9
PLAINTEXT = fffffffffffffffffffff00000000000
 
COUNT = 84
KEY = 00000000000000000000000000000000
CIPHERTEXT = 14954f0b4697776f44494fe458d814ed
PLAINTEXT = fffffffffffffffffffff80000000000
 
COUNT = 85
KEY = 00000000000000000000000000000000
CIPHERTEXT = 7c8d9ab6c2761723fe42f8bb506cbcf7
PLAINTEXT = fffffffffffffffffffffc0000000000
 
COUNT = 86
KEY = 00000000000000000000000000000000
CIPHERTEXT = db7e1932679fdd99742aab04aa0d5a80
PLAINTEXT = fffffffffffffffffffffe0000000000
 
COUNT = 87
KEY = 00000000000000000000000000000000
CIPHERTEXT = 4c6a1c83e568cd10f27c2d73ded19c28
PLAINTEXT = ffffffffffffffffffffff0000000000
 
COUNT = 88
KEY = 00000000000000000000000000000000
CIPHERTEXT = 90ecbe6177e674c98de412413f7ac915
PLAINTEXT = ffffffffffffffffffffff8000000000
 
COUNT = 89
KEY = 00000000000000000000000000000000
CIPHERTEXT = 90684a2ac55fe1ec2b8ebd5622520b73
PLAINTEXT = ffffffffffffffffffffffc000000000
 
COUNT = 90
KEY = 00000000000000000000000000000000
CIPHERTEXT = 7472f9a7988607ca79707795991035e6
PLAINTEXT = ffffffffffffffffffffffe000000000
 
COUNT = 91
KEY = 00000000000000000000000000000000
CIPHERTEXT = 56aff089878bf3352f8df172a3ae47d8
PLAINTEXT = fffffffffffffffffffffff000000000
 
COUNT = 92
KEY = 00000000000000000000000000000000
CIPHERTEXT = 65c0526cbe40161b8019a2a3171abd23
PLAINTEXT = fffffffffffffffffffffff800000000
 
COUNT = 93
KEY = 00000000000000000000000000000000
CIPHERTEXT = 377be0be33b4e3e310b4aabda173f84f
PLAINTEXT = fffffffffffffffffffffffc00000000
 
COUNT = 94
KEY = 00000000000000000000000000000000
CIPHERTEXT = 9402e9aa6f69de6504da8d20c4fcaa2f
PLAINTEXT = fffffffffffffffffffffffe00000000
 
COUNT = 95
KEY = 00000000000000000000000000000000
CIPHERTEXT = 123c1f4af313ad8c2ce648b2e71fb6e1
PLAINTEXT = ffffffffffffffffffffffff00000000
 
COUNT = 96
KEY = 00000000000000000000000000000000
CIPHERTEXT = 1ffc626d30203dcdb0019fb80f726cf4
PLAINTEXT = ffffffffffffffffffffffff80000000
 
COUNT = 97
KEY = 00000000000000000000000000000000
CIPHERTEXT = 76da1fbe3a50728c50fd2e621b5ad885
PLAINTEXT = ffffffffffffffffffffffffc0000000
 
COUNT = 98
KEY = 00000000000000000000000000000000
CIPHERTEXT = 082eb8be35f442fb52668e16a591d1d6
PLAINTEXT = ffffffffffffffffffffffffe0000000
 
COUNT = 99
KEY = 00000000000000000000000000000000
CIPHERTEXT = e656f9ecf5fe27ec3e4a73d00c282fb3
PLAINTEXT = fffffffffffffffffffffffff0000000
 
COUNT = 100
KEY = 00000000000000000000000000000000
CIPHERTEXT = 2ca8209d63274cd9a29bb74bcd77683a
PLAINTEXT = fffffffffffffffffffffffff8000000
 
COUNT = 101
KEY = 00000000000000000000000000000000
CIPHERTEXT = 79bf5dce14bb7dd73a8e3611de7ce026
PLAINTEXT = fffffffffffffffffffffffffc000000
 
COUNT = 102
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3c849939a5d29399f344c4a0eca8a576
PLAINTEXT = fffffffffffffffffffffffffe000000
 
COUNT = 103
KEY = 00000000000000000000000000000000
CIPHERTEXT = ed3c0a94d59bece98835da7aa4f07ca2
PLAINTEXT = ffffffffffffffffffffffffff000000
 
COUNT = 104
KEY = 00000000000000000000000000000000
CIPHERTEXT = 63919ed4ce10196438b6ad09d99cd795
PLAINTEXT = ffffffffffffffffffffffffff800000
 
COUNT = 105
KEY = 00000000000000000000000000000000
CIPHERTEXT = 7678f3a833f19fea95f3c6029e2bc610
PLAINTEXT = ffffffffffffffffffffffffffc00000
 
COUNT = 106
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3aa426831067d36b92be7c5f81c13c56
PLAINTEXT = ffffffffffffffffffffffffffe00000
 
COUNT = 107
KEY = 00000000000000000000000000000000
CIPHERTEXT = 9272e2d2cdd11050998c845077a30ea0
PLAINTEXT = fffffffffffffffffffffffffff00000
 
COUNT = 108
KEY = 00000000000000000000000000000000
CIPHERTEXT = 088c4b53f5ec0ff814c19adae7f6246c
PLAINTEXT = fffffffffffffffffffffffffff80000
 
COUNT = 109
KEY = 00000000000000000000000000000000
CIPHERTEXT = 4010a5e401fdf0a0354ddbcc0d012b17
PLAINTEXT = fffffffffffffffffffffffffffc0000
 
COUNT = 110
KEY = 00000000000000000000000000000000
CIPHERTEXT = a87a385736c0a6189bd6589bd8445a93
PLAINTEXT = fffffffffffffffffffffffffffe0000
 
COUNT = 111
KEY = 00000000000000000000000000000000
CIPHERTEXT = 545f2b83d9616dccf60fa9830e9cd287
PLAINTEXT = ffffffffffffffffffffffffffff0000
 
COUNT = 112
KEY = 00000000000000000000000000000000
CIPHERTEXT = 4b706f7f92406352394037a6d4f4688d
PLAINTEXT = ffffffffffffffffffffffffffff8000
 
COUNT = 113
KEY = 00000000000000000000000000000000
CIPHERTEXT = b7972b3941c44b90afa7b264bfba7387
PLAINTEXT = ffffffffffffffffffffffffffffc000
 
COUNT = 114
KEY = 00000000000000000000000000000000
CIPHERTEXT = 6f45732cf10881546f0fd23896d2bb60
PLAINTEXT = ffffffffffffffffffffffffffffe000
 
COUNT = 115
KEY = 00000000000000000000000000000000
CIPHERTEXT = 2e3579ca15af27f64b3c955a5bfc30ba
PLAINTEXT = fffffffffffffffffffffffffffff000
 
COUNT = 116
KEY = 00000000000000000000000000000000
CIPHERTEXT = 34a2c5a91ae2aec99b7d1b5fa6780447
PLAINTEXT = fffffffffffffffffffffffffffff800
 
COUNT = 117
KEY = 00000000000000000000000000000000
CIPHERTEXT = a4d6616bd04f87335b0e53351227a9ee
PLAINTEXT = fffffffffffffffffffffffffffffc00
 
COUNT = 118
KEY = 00000000000000000000000000000000
CIPHERTEXT = 7f692b03945867d16179a8cefc83ea3f
PLAINTEXT = fffffffffffffffffffffffffffffe00
 
COUNT = 119
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3bd141ee84a0e6414a26e7a4f281f8a2
PLAINTEXT = ffffffffffffffffffffffffffffff00
 
COUNT = 120
KEY = 00000000000000000000000000000000
CIPHERTEXT = d1788f572d98b2b16ec5d5f3922b99bc
PLAINTEXT = ffffffffffffffffffffffffffffff80
 
COUNT = 121
KEY = 00000000000000000000000000000000
CIPHERTEXT = 0833ff6f61d98a57b288e8c3586b85a6
PLAINTEXT = ffffffffffffffffffffffffffffffc0
 
COUNT = 122
KEY = 00000000000000000000000000000000
CIPHERTEXT = 8568261797de176bf0b43becc6285afb
PLAINTEXT = ffffffffffffffffffffffffffffffe0
 
COUNT = 123
KEY = 00000000000000000000000000000000
CIPHERTEXT = f9b0fda0c4a898f5b9e6f661c4ce4d07
PLAINTEXT = fffffffffffffffffffffffffffffff0
 
COUNT = 124
KEY = 00000000000000000000000000000000
CIPHERTEXT = 8ade895913685c67c5269f8aae42983e
PLAINTEXT = fffffffffffffffffffffffffffffff8
 
COUNT = 125
KEY = 00000000000000000000000000000000
CIPHERTEXT = 39bde67d5c8ed8a8b1c37eb8fa9f5ac0
PLAINTEXT = fffffffffffffffffffffffffffffffc
 
COUNT = 126
KEY = 00000000000000000000000000000000
CIPHERTEXT = 5c005e72c1418c44f569f2ea33ba54f3
PLAINTEXT = fffffffffffffffffffffffffffffffe
 
COUNT = 127
KEY = 00000000000000000000000000000000
CIPHERTEXT = 3f5b8cc9ea855a0afa7347d23e8d664e
PLAINTEXT = ffffffffffffffffffffffffffffffff
/trunk/verilog/sim/KAT_AES/ECBGFSbox128d.txt
0,0 → 1,36
[DECRYPT]
 
COUNT = 0
KEY = 00000000000000000000000000000000
CIPHERTEXT = 0336763e966d92595a567cc9ce537f5e
PLAINTEXT = f34481ec3cc627bacd5dc3fb08f273e6
 
COUNT = 1
KEY = 00000000000000000000000000000000
CIPHERTEXT = a9a1631bf4996954ebc093957b234589
PLAINTEXT = 9798c4640bad75c7c3227db910174e72
 
COUNT = 2
KEY = 00000000000000000000000000000000
CIPHERTEXT = ff4f8391a6a40ca5b25d23bedd44a597
PLAINTEXT = 96ab5c2ff612d9dfaae8c31f30c42168
 
COUNT = 3
KEY = 00000000000000000000000000000000
CIPHERTEXT = dc43be40be0e53712f7e2bf5ca707209
PLAINTEXT = 6a118a874519e64e9963798a503f1d35
 
COUNT = 4
KEY = 00000000000000000000000000000000
CIPHERTEXT = 92beedab1895a94faa69b632e5cc47ce
PLAINTEXT = cb9fceec81286ca3e989bd979b0cb284
 
COUNT = 5
KEY = 00000000000000000000000000000000
CIPHERTEXT = 459264f4798f6a78bacb89c15ed3d601
PLAINTEXT = b26aeb1874e47ca8358ff22378f09144
 
COUNT = 6
KEY = 00000000000000000000000000000000
CIPHERTEXT = 08a4e2efec8a8e3312ca7460b9040bbf
PLAINTEXT = 58c8e00b2631686d54eab84b91f0aca1
/trunk/verilog/sim/KAT_AES/ECBVarTxt192d.txt
0,0 → 1,641
[DECRYPT]
 
COUNT = 0
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 6cd02513e8d4dc986b4afe087a60bd0c
PLAINTEXT = 80000000000000000000000000000000
 
COUNT = 1
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 2ce1f8b7e30627c1c4519eada44bc436
PLAINTEXT = c0000000000000000000000000000000
 
COUNT = 2
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 9946b5f87af446f5796c1fee63a2da24
PLAINTEXT = e0000000000000000000000000000000
 
COUNT = 3
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 2a560364ce529efc21788779568d5555
PLAINTEXT = f0000000000000000000000000000000
 
COUNT = 4
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 35c1471837af446153bce55d5ba72a0a
PLAINTEXT = f8000000000000000000000000000000
 
COUNT = 5
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = ce60bc52386234f158f84341e534cd9e
PLAINTEXT = fc000000000000000000000000000000
 
COUNT = 6
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 8c7c27ff32bcf8dc2dc57c90c2903961
PLAINTEXT = fe000000000000000000000000000000
 
COUNT = 7
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 32bb6a7ec84499e166f936003d55a5bb
PLAINTEXT = ff000000000000000000000000000000
 
COUNT = 8
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = a5c772e5c62631ef660ee1d5877f6d1b
PLAINTEXT = ff800000000000000000000000000000
 
COUNT = 9
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 030d7e5b64f380a7e4ea5387b5cd7f49
PLAINTEXT = ffc00000000000000000000000000000
 
COUNT = 10
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 0dc9a2610037009b698f11bb7e86c83e
PLAINTEXT = ffe00000000000000000000000000000
 
COUNT = 11
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 0046612c766d1840c226364f1fa7ed72
PLAINTEXT = fff00000000000000000000000000000
 
COUNT = 12
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 4880c7e08f27befe78590743c05e698b
PLAINTEXT = fff80000000000000000000000000000
 
COUNT = 13
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 2520ce829a26577f0f4822c4ecc87401
PLAINTEXT = fffc0000000000000000000000000000
 
COUNT = 14
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 8765e8acc169758319cb46dc7bcf3dca
PLAINTEXT = fffe0000000000000000000000000000
 
COUNT = 15
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = e98f4ba4f073df4baa116d011dc24a28
PLAINTEXT = ffff0000000000000000000000000000
 
COUNT = 16
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = f378f68c5dbf59e211b3a659a7317d94
PLAINTEXT = ffff8000000000000000000000000000
 
COUNT = 17
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 283d3b069d8eb9fb432d74b96ca762b4
PLAINTEXT = ffffc000000000000000000000000000
 
COUNT = 18
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = a7e1842e8a87861c221a500883245c51
PLAINTEXT = ffffe000000000000000000000000000
 
COUNT = 19
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 77aa270471881be070fb52c7067ce732
PLAINTEXT = fffff000000000000000000000000000
 
COUNT = 20
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 01b0f476d484f43f1aeb6efa9361a8ac
PLAINTEXT = fffff800000000000000000000000000
 
COUNT = 21
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 1c3a94f1c052c55c2d8359aff2163b4f
PLAINTEXT = fffffc00000000000000000000000000
 
COUNT = 22
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = e8a067b604d5373d8b0f2e05a03b341b
PLAINTEXT = fffffe00000000000000000000000000
 
COUNT = 23
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = a7876ec87f5a09bfea42c77da30fd50e
PLAINTEXT = ffffff00000000000000000000000000
 
COUNT = 24
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 0cf3e9d3a42be5b854ca65b13f35f48d
PLAINTEXT = ffffff80000000000000000000000000
 
COUNT = 25
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 6c62f6bbcab7c3e821c9290f08892dda
PLAINTEXT = ffffffc0000000000000000000000000
 
COUNT = 26
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 7f5e05bd2068738196fee79ace7e3aec
PLAINTEXT = ffffffe0000000000000000000000000
 
COUNT = 27
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 440e0d733255cda92fb46e842fe58054
PLAINTEXT = fffffff0000000000000000000000000
 
COUNT = 28
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = aa5d5b1c4ea1b7a22e5583ac2e9ed8a7
PLAINTEXT = fffffff8000000000000000000000000
 
COUNT = 29
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 77e537e89e8491e8662aae3bc809421d
PLAINTEXT = fffffffc000000000000000000000000
 
COUNT = 30
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 997dd3e9f1598bfa73f75973f7e93b76
PLAINTEXT = fffffffe000000000000000000000000
 
COUNT = 31
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 1b38d4f7452afefcb7fc721244e4b72e
PLAINTEXT = ffffffff000000000000000000000000
 
COUNT = 32
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 0be2b18252e774dda30cdda02c6906e3
PLAINTEXT = ffffffff800000000000000000000000
 
COUNT = 33
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = d2695e59c20361d82652d7d58b6f11b2
PLAINTEXT = ffffffffc00000000000000000000000
 
COUNT = 34
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 902d88d13eae52089abd6143cfe394e9
PLAINTEXT = ffffffffe00000000000000000000000
 
COUNT = 35
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = d49bceb3b823fedd602c305345734bd2
PLAINTEXT = fffffffff00000000000000000000000
 
COUNT = 36
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 707b1dbb0ffa40ef7d95def421233fae
PLAINTEXT = fffffffff80000000000000000000000
 
COUNT = 37
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 7ca0c1d93356d9eb8aa952084d75f913
PLAINTEXT = fffffffffc0000000000000000000000
 
COUNT = 38
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = f2cbf9cb186e270dd7bdb0c28febc57d
PLAINTEXT = fffffffffe0000000000000000000000
 
COUNT = 39
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = c94337c37c4e790ab45780bd9c3674a0
PLAINTEXT = ffffffffff0000000000000000000000
 
COUNT = 40
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 8e3558c135252fb9c9f367ed609467a1
PLAINTEXT = ffffffffff8000000000000000000000
 
COUNT = 41
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 1b72eeaee4899b443914e5b3a57fba92
PLAINTEXT = ffffffffffc000000000000000000000
 
COUNT = 42
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 011865f91bc56868d051e52c9efd59b7
PLAINTEXT = ffffffffffe000000000000000000000
 
COUNT = 43
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = e4771318ad7a63dd680f6e583b7747ea
PLAINTEXT = fffffffffff000000000000000000000
 
COUNT = 44
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 61e3d194088dc8d97e9e6db37457eac5
PLAINTEXT = fffffffffff800000000000000000000
 
COUNT = 45
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 36ff1ec9ccfbc349e5d356d063693ad6
PLAINTEXT = fffffffffffc00000000000000000000
 
COUNT = 46
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 3cc9e9a9be8cc3f6fb2ea24088e9bb19
PLAINTEXT = fffffffffffe00000000000000000000
 
COUNT = 47
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 1ee5ab003dc8722e74905d9a8fe3d350
PLAINTEXT = ffffffffffff00000000000000000000
 
COUNT = 48
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 245339319584b0a412412869d6c2eada
PLAINTEXT = ffffffffffff80000000000000000000
 
COUNT = 49
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 7bd496918115d14ed5380852716c8814
PLAINTEXT = ffffffffffffc0000000000000000000
 
COUNT = 50
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 273ab2f2b4a366a57d582a339313c8b1
PLAINTEXT = ffffffffffffe0000000000000000000
 
COUNT = 51
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 113365a9ffbe3b0ca61e98507554168b
PLAINTEXT = fffffffffffff0000000000000000000
 
COUNT = 52
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = afa99c997ac478a0dea4119c9e45f8b1
PLAINTEXT = fffffffffffff8000000000000000000
 
COUNT = 53
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 9216309a7842430b83ffb98638011512
PLAINTEXT = fffffffffffffc000000000000000000
 
COUNT = 54
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 62abc792288258492a7cb45145f4b759
PLAINTEXT = fffffffffffffe000000000000000000
 
COUNT = 55
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 534923c169d504d7519c15d30e756c50
PLAINTEXT = ffffffffffffff000000000000000000
 
COUNT = 56
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = fa75e05bcdc7e00c273fa33f6ee441d2
PLAINTEXT = ffffffffffffff800000000000000000
 
COUNT = 57
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 7d350fa6057080f1086a56b17ec240db
PLAINTEXT = ffffffffffffffc00000000000000000
 
COUNT = 58
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = f34e4a6324ea4a5c39a661c8fe5ada8f
PLAINTEXT = ffffffffffffffe00000000000000000
 
COUNT = 59
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 0882a16f44088d42447a29ac090ec17e
PLAINTEXT = fffffffffffffff00000000000000000
 
COUNT = 60
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 3a3c15bfc11a9537c130687004e136ee
PLAINTEXT = fffffffffffffff80000000000000000
 
COUNT = 61
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 22c0a7678dc6d8cf5c8a6d5a9960767c
PLAINTEXT = fffffffffffffffc0000000000000000
 
COUNT = 62
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = b46b09809d68b9a456432a79bdc2e38c
PLAINTEXT = fffffffffffffffe0000000000000000
 
COUNT = 63
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 93baaffb35fbe739c17c6ac22eecf18f
PLAINTEXT = ffffffffffffffff0000000000000000
 
COUNT = 64
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = c8aa80a7850675bc007c46df06b49868
PLAINTEXT = ffffffffffffffff8000000000000000
 
COUNT = 65
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 12c6f3877af421a918a84b775858021d
PLAINTEXT = ffffffffffffffffc000000000000000
 
COUNT = 66
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 33f123282c5d633924f7d5ba3f3cab11
PLAINTEXT = ffffffffffffffffe000000000000000
 
COUNT = 67
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = a8f161002733e93ca4527d22c1a0c5bb
PLAINTEXT = fffffffffffffffff000000000000000
 
COUNT = 68
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = b72f70ebf3e3fda23f508eec76b42c02
PLAINTEXT = fffffffffffffffff800000000000000
 
COUNT = 69
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 6a9d965e6274143f25afdcfc88ffd77c
PLAINTEXT = fffffffffffffffffc00000000000000
 
COUNT = 70
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = a0c74fd0b9361764ce91c5200b095357
PLAINTEXT = fffffffffffffffffe00000000000000
 
COUNT = 71
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 091d1fdc2bd2c346cd5046a8c6209146
PLAINTEXT = ffffffffffffffffff00000000000000
 
COUNT = 72
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = e2a37580116cfb71856254496ab0aca8
PLAINTEXT = ffffffffffffffffff80000000000000
 
COUNT = 73
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = e0b3a00785917c7efc9adba322813571
PLAINTEXT = ffffffffffffffffffc0000000000000
 
COUNT = 74
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 733d41f4727b5ef0df4af4cf3cffa0cb
PLAINTEXT = ffffffffffffffffffe0000000000000
 
COUNT = 75
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = a99ebb030260826f981ad3e64490aa4f
PLAINTEXT = fffffffffffffffffff0000000000000
 
COUNT = 76
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 73f34c7d3eae5e80082c1647524308ee
PLAINTEXT = fffffffffffffffffff8000000000000
 
COUNT = 77
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 40ebd5ad082345b7a2097ccd3464da02
PLAINTEXT = fffffffffffffffffffc000000000000
 
COUNT = 78
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 7cc4ae9a424b2cec90c97153c2457ec5
PLAINTEXT = fffffffffffffffffffe000000000000
 
COUNT = 79
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 54d632d03aba0bd0f91877ebdd4d09cb
PLAINTEXT = ffffffffffffffffffff000000000000
 
COUNT = 80
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = d3427be7e4d27cd54f5fe37b03cf0897
PLAINTEXT = ffffffffffffffffffff800000000000
 
COUNT = 81
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = b2099795e88cc158fd75ea133d7e7fbe
PLAINTEXT = ffffffffffffffffffffc00000000000
 
COUNT = 82
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = a6cae46fb6fadfe7a2c302a34242817b
PLAINTEXT = ffffffffffffffffffffe00000000000
 
COUNT = 83
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 026a7024d6a902e0b3ffccbaa910cc3f
PLAINTEXT = fffffffffffffffffffff00000000000
 
COUNT = 84
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 156f07767a85a4312321f63968338a01
PLAINTEXT = fffffffffffffffffffff80000000000
 
COUNT = 85
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 15eec9ebf42b9ca76897d2cd6c5a12e2
PLAINTEXT = fffffffffffffffffffffc0000000000
 
COUNT = 86
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = db0d3a6fdcc13f915e2b302ceeb70fd8
PLAINTEXT = fffffffffffffffffffffe0000000000
 
COUNT = 87
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 71dbf37e87a2e34d15b20e8f10e48924
PLAINTEXT = ffffffffffffffffffffff0000000000
 
COUNT = 88
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = c745c451e96ff3c045e4367c833e3b54
PLAINTEXT = ffffffffffffffffffffff8000000000
 
COUNT = 89
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 340da09c2dd11c3b679d08ccd27dd595
PLAINTEXT = ffffffffffffffffffffffc000000000
 
COUNT = 90
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 8279f7c0c2a03ee660c6d392db025d18
PLAINTEXT = ffffffffffffffffffffffe000000000
 
COUNT = 91
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = a4b2c7d8eba531ff47c5041a55fbd1ec
PLAINTEXT = fffffffffffffffffffffff000000000
 
COUNT = 92
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 74569a2ca5a7bd5131ce8dc7cbfbf72f
PLAINTEXT = fffffffffffffffffffffff800000000
 
COUNT = 93
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 3713da0c0219b63454035613b5a403dd
PLAINTEXT = fffffffffffffffffffffffc00000000
 
COUNT = 94
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 8827551ddcc9df23fa72a3de4e9f0b07
PLAINTEXT = fffffffffffffffffffffffe00000000
 
COUNT = 95
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 2e3febfd625bfcd0a2c06eb460da1732
PLAINTEXT = ffffffffffffffffffffffff00000000
 
COUNT = 96
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = ee82e6ba488156f76496311da6941deb
PLAINTEXT = ffffffffffffffffffffffff80000000
 
COUNT = 97
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 4770446f01d1f391256e85a1b30d89d3
PLAINTEXT = ffffffffffffffffffffffffc0000000
 
COUNT = 98
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = af04b68f104f21ef2afb4767cf74143c
PLAINTEXT = ffffffffffffffffffffffffe0000000
 
COUNT = 99
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = cf3579a9ba38c8e43653173e14f3a4c6
PLAINTEXT = fffffffffffffffffffffffff0000000
 
COUNT = 100
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = b3bba904f4953e09b54800af2f62e7d4
PLAINTEXT = fffffffffffffffffffffffff8000000
 
COUNT = 101
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = fc4249656e14b29eb9c44829b4c59a46
PLAINTEXT = fffffffffffffffffffffffffc000000
 
COUNT = 102
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 9b31568febe81cfc2e65af1c86d1a308
PLAINTEXT = fffffffffffffffffffffffffe000000
 
COUNT = 103
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 9ca09c25f273a766db98a480ce8dfedc
PLAINTEXT = ffffffffffffffffffffffffff000000
 
COUNT = 104
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = b909925786f34c3c92d971883c9fbedf
PLAINTEXT = ffffffffffffffffffffffffff800000
 
COUNT = 105
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 82647f1332fe570a9d4d92b2ee771d3b
PLAINTEXT = ffffffffffffffffffffffffffc00000
 
COUNT = 106
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 3604a7e80832b3a99954bca6f5b9f501
PLAINTEXT = ffffffffffffffffffffffffffe00000
 
COUNT = 107
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 884607b128c5de3ab39a529a1ef51bef
PLAINTEXT = fffffffffffffffffffffffffff00000
 
COUNT = 108
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 670cfa093d1dbdb2317041404102435e
PLAINTEXT = fffffffffffffffffffffffffff80000
 
COUNT = 109
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 7a867195f3ce8769cbd336502fbb5130
PLAINTEXT = fffffffffffffffffffffffffffc0000
 
COUNT = 110
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 52efcf64c72b2f7ca5b3c836b1078c15
PLAINTEXT = fffffffffffffffffffffffffffe0000
 
COUNT = 111
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 4019250f6eefb2ac5ccbcae044e75c7e
PLAINTEXT = ffffffffffffffffffffffffffff0000
 
COUNT = 112
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 022c4f6f5a017d292785627667ddef24
PLAINTEXT = ffffffffffffffffffffffffffff8000
 
COUNT = 113
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = e9c21078a2eb7e03250f71000fa9e3ed
PLAINTEXT = ffffffffffffffffffffffffffffc000
 
COUNT = 114
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = a13eaeeb9cd391da4e2b09490b3e7fad
PLAINTEXT = ffffffffffffffffffffffffffffe000
 
COUNT = 115
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = c958a171dca1d4ed53e1af1d380803a9
PLAINTEXT = fffffffffffffffffffffffffffff000
 
COUNT = 116
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 21442e07a110667f2583eaeeee44dc8c
PLAINTEXT = fffffffffffffffffffffffffffff800
 
COUNT = 117
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 59bbb353cf1dd867a6e33737af655e99
PLAINTEXT = fffffffffffffffffffffffffffffc00
 
COUNT = 118
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 43cd3b25375d0ce41087ff9fe2829639
PLAINTEXT = fffffffffffffffffffffffffffffe00
 
COUNT = 119
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 6b98b17e80d1118e3516bd768b285a84
PLAINTEXT = ffffffffffffffffffffffffffffff00
 
COUNT = 120
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = ae47ed3676ca0c08deea02d95b81db58
PLAINTEXT = ffffffffffffffffffffffffffffff80
 
COUNT = 121
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 34ec40dc20413795ed53628ea748720b
PLAINTEXT = ffffffffffffffffffffffffffffffc0
 
COUNT = 122
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 4dc68163f8e9835473253542c8a65d46
PLAINTEXT = ffffffffffffffffffffffffffffffe0
 
COUNT = 123
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 2aabb999f43693175af65c6c612c46fb
PLAINTEXT = fffffffffffffffffffffffffffffff0
 
COUNT = 124
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = e01f94499dac3547515c5b1d756f0f58
PLAINTEXT = fffffffffffffffffffffffffffffff8
 
COUNT = 125
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 9d12435a46480ce00ea349f71799df9a
PLAINTEXT = fffffffffffffffffffffffffffffffc
 
COUNT = 126
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = cef41d16d266bdfe46938ad7884cc0cf
PLAINTEXT = fffffffffffffffffffffffffffffffe
 
COUNT = 127
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = b13db4da1f718bc6904797c82bcf2d32
PLAINTEXT = ffffffffffffffffffffffffffffffff
/trunk/verilog/sim/KAT_AES/ECBVarTxt128e.txt
0,0 → 1,641
[ENCRYPT]
 
COUNT = 0
KEY = 00000000000000000000000000000000
PLAINTEXT = 80000000000000000000000000000000
CIPHERTEXT = 3ad78e726c1ec02b7ebfe92b23d9ec34
 
COUNT = 1
KEY = 00000000000000000000000000000000
PLAINTEXT = c0000000000000000000000000000000
CIPHERTEXT = aae5939c8efdf2f04e60b9fe7117b2c2
 
COUNT = 2
KEY = 00000000000000000000000000000000
PLAINTEXT = e0000000000000000000000000000000
CIPHERTEXT = f031d4d74f5dcbf39daaf8ca3af6e527
 
COUNT = 3
KEY = 00000000000000000000000000000000
PLAINTEXT = f0000000000000000000000000000000
CIPHERTEXT = 96d9fd5cc4f07441727df0f33e401a36
 
COUNT = 4
KEY = 00000000000000000000000000000000
PLAINTEXT = f8000000000000000000000000000000
CIPHERTEXT = 30ccdb044646d7e1f3ccea3dca08b8c0
 
COUNT = 5
KEY = 00000000000000000000000000000000
PLAINTEXT = fc000000000000000000000000000000
CIPHERTEXT = 16ae4ce5042a67ee8e177b7c587ecc82
 
COUNT = 6
KEY = 00000000000000000000000000000000
PLAINTEXT = fe000000000000000000000000000000
CIPHERTEXT = b6da0bb11a23855d9c5cb1b4c6412e0a
 
COUNT = 7
KEY = 00000000000000000000000000000000
PLAINTEXT = ff000000000000000000000000000000
CIPHERTEXT = db4f1aa530967d6732ce4715eb0ee24b
 
COUNT = 8
KEY = 00000000000000000000000000000000
PLAINTEXT = ff800000000000000000000000000000
CIPHERTEXT = a81738252621dd180a34f3455b4baa2f
 
COUNT = 9
KEY = 00000000000000000000000000000000
PLAINTEXT = ffc00000000000000000000000000000
CIPHERTEXT = 77e2b508db7fd89234caf7939ee5621a
 
COUNT = 10
KEY = 00000000000000000000000000000000
PLAINTEXT = ffe00000000000000000000000000000
CIPHERTEXT = b8499c251f8442ee13f0933b688fcd19
 
COUNT = 11
KEY = 00000000000000000000000000000000
PLAINTEXT = fff00000000000000000000000000000
CIPHERTEXT = 965135f8a81f25c9d630b17502f68e53
 
COUNT = 12
KEY = 00000000000000000000000000000000
PLAINTEXT = fff80000000000000000000000000000
CIPHERTEXT = 8b87145a01ad1c6cede995ea3670454f
 
COUNT = 13
KEY = 00000000000000000000000000000000
PLAINTEXT = fffc0000000000000000000000000000
CIPHERTEXT = 8eae3b10a0c8ca6d1d3b0fa61e56b0b2
 
COUNT = 14
KEY = 00000000000000000000000000000000
PLAINTEXT = fffe0000000000000000000000000000
CIPHERTEXT = 64b4d629810fda6bafdf08f3b0d8d2c5
 
COUNT = 15
KEY = 00000000000000000000000000000000
PLAINTEXT = ffff0000000000000000000000000000
CIPHERTEXT = d7e5dbd3324595f8fdc7d7c571da6c2a
 
COUNT = 16
KEY = 00000000000000000000000000000000
PLAINTEXT = ffff8000000000000000000000000000
CIPHERTEXT = f3f72375264e167fca9de2c1527d9606
 
COUNT = 17
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffc000000000000000000000000000
CIPHERTEXT = 8ee79dd4f401ff9b7ea945d86666c13b
 
COUNT = 18
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffe000000000000000000000000000
CIPHERTEXT = dd35cea2799940b40db3f819cb94c08b
 
COUNT = 19
KEY = 00000000000000000000000000000000
PLAINTEXT = fffff000000000000000000000000000
CIPHERTEXT = 6941cb6b3e08c2b7afa581ebdd607b87
 
COUNT = 20
KEY = 00000000000000000000000000000000
PLAINTEXT = fffff800000000000000000000000000
CIPHERTEXT = 2c20f439f6bb097b29b8bd6d99aad799
 
COUNT = 21
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffc00000000000000000000000000
CIPHERTEXT = 625d01f058e565f77ae86378bd2c49b3
 
COUNT = 22
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffe00000000000000000000000000
CIPHERTEXT = c0b5fd98190ef45fbb4301438d095950
 
COUNT = 23
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffff00000000000000000000000000
CIPHERTEXT = 13001ff5d99806efd25da34f56be854b
 
COUNT = 24
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffff80000000000000000000000000
CIPHERTEXT = 3b594c60f5c8277a5113677f94208d82
 
COUNT = 25
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffc0000000000000000000000000
CIPHERTEXT = e9c0fc1818e4aa46bd2e39d638f89e05
 
COUNT = 26
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffe0000000000000000000000000
CIPHERTEXT = f8023ee9c3fdc45a019b4e985c7e1a54
 
COUNT = 27
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffff0000000000000000000000000
CIPHERTEXT = 35f40182ab4662f3023baec1ee796b57
 
COUNT = 28
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffff8000000000000000000000000
CIPHERTEXT = 3aebbad7303649b4194a6945c6cc3694
 
COUNT = 29
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffc000000000000000000000000
CIPHERTEXT = a2124bea53ec2834279bed7f7eb0f938
 
COUNT = 30
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffe000000000000000000000000
CIPHERTEXT = b9fb4399fa4facc7309e14ec98360b0a
 
COUNT = 31
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffff000000000000000000000000
CIPHERTEXT = c26277437420c5d634f715aea81a9132
 
COUNT = 32
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffff800000000000000000000000
CIPHERTEXT = 171a0e1b2dd424f0e089af2c4c10f32f
 
COUNT = 33
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffc00000000000000000000000
CIPHERTEXT = 7cadbe402d1b208fe735edce00aee7ce
 
COUNT = 34
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffe00000000000000000000000
CIPHERTEXT = 43b02ff929a1485af6f5c6d6558baa0f
 
COUNT = 35
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffff00000000000000000000000
CIPHERTEXT = 092faacc9bf43508bf8fa8613ca75dea
 
COUNT = 36
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffff80000000000000000000000
CIPHERTEXT = cb2bf8280f3f9742c7ed513fe802629c
 
COUNT = 37
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffc0000000000000000000000
CIPHERTEXT = 215a41ee442fa992a6e323986ded3f68
 
COUNT = 38
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffe0000000000000000000000
CIPHERTEXT = f21e99cf4f0f77cea836e11a2fe75fb1
 
COUNT = 39
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffff0000000000000000000000
CIPHERTEXT = 95e3a0ca9079e646331df8b4e70d2cd6
 
COUNT = 40
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffff8000000000000000000000
CIPHERTEXT = 4afe7f120ce7613f74fc12a01a828073
 
COUNT = 41
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffc000000000000000000000
CIPHERTEXT = 827f000e75e2c8b9d479beed913fe678
 
COUNT = 42
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffe000000000000000000000
CIPHERTEXT = 35830c8e7aaefe2d30310ef381cbf691
 
COUNT = 43
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffff000000000000000000000
CIPHERTEXT = 191aa0f2c8570144f38657ea4085ebe5
 
COUNT = 44
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffff800000000000000000000
CIPHERTEXT = 85062c2c909f15d9269b6c18ce99c4f0
 
COUNT = 45
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffc00000000000000000000
CIPHERTEXT = 678034dc9e41b5a560ed239eeab1bc78
 
COUNT = 46
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffe00000000000000000000
CIPHERTEXT = c2f93a4ce5ab6d5d56f1b93cf19911c1
 
COUNT = 47
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffff00000000000000000000
CIPHERTEXT = 1c3112bcb0c1dcc749d799743691bf82
 
COUNT = 48
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffff80000000000000000000
CIPHERTEXT = 00c55bd75c7f9c881989d3ec1911c0d4
 
COUNT = 49
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffc0000000000000000000
CIPHERTEXT = ea2e6b5ef182b7dff3629abd6a12045f
 
COUNT = 50
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffe0000000000000000000
CIPHERTEXT = 22322327e01780b17397f24087f8cc6f
 
COUNT = 51
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffff0000000000000000000
CIPHERTEXT = c9cacb5cd11692c373b2411768149ee7
 
COUNT = 52
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffff8000000000000000000
CIPHERTEXT = a18e3dbbca577860dab6b80da3139256
 
COUNT = 53
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffc000000000000000000
CIPHERTEXT = 79b61c37bf328ecca8d743265a3d425c
 
COUNT = 54
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffe000000000000000000
CIPHERTEXT = d2d99c6bcc1f06fda8e27e8ae3f1ccc7
 
COUNT = 55
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffff000000000000000000
CIPHERTEXT = 1bfd4b91c701fd6b61b7f997829d663b
 
COUNT = 56
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffff800000000000000000
CIPHERTEXT = 11005d52f25f16bdc9545a876a63490a
 
COUNT = 57
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffc00000000000000000
CIPHERTEXT = 3a4d354f02bb5a5e47d39666867f246a
 
COUNT = 58
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffe00000000000000000
CIPHERTEXT = d451b8d6e1e1a0ebb155fbbf6e7b7dc3
 
COUNT = 59
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffff00000000000000000
CIPHERTEXT = 6898d4f42fa7ba6a10ac05e87b9f2080
 
COUNT = 60
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffff80000000000000000
CIPHERTEXT = b611295e739ca7d9b50f8e4c0e754a3f
 
COUNT = 61
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffc0000000000000000
CIPHERTEXT = 7d33fc7d8abe3ca1936759f8f5deaf20
 
COUNT = 62
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffe0000000000000000
CIPHERTEXT = 3b5e0f566dc96c298f0c12637539b25c
 
COUNT = 63
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffff0000000000000000
CIPHERTEXT = f807c3e7985fe0f5a50e2cdb25c5109e
 
COUNT = 64
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffff8000000000000000
CIPHERTEXT = 41f992a856fb278b389a62f5d274d7e9
 
COUNT = 65
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffc000000000000000
CIPHERTEXT = 10d3ed7a6fe15ab4d91acbc7d0767ab1
 
COUNT = 66
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffe000000000000000
CIPHERTEXT = 21feecd45b2e675973ac33bf0c5424fc
 
COUNT = 67
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffff000000000000000
CIPHERTEXT = 1480cb3955ba62d09eea668f7c708817
 
COUNT = 68
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffff800000000000000
CIPHERTEXT = 66404033d6b72b609354d5496e7eb511
 
COUNT = 69
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffc00000000000000
CIPHERTEXT = 1c317a220a7d700da2b1e075b00266e1
 
COUNT = 70
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffe00000000000000
CIPHERTEXT = ab3b89542233f1271bf8fd0c0f403545
 
COUNT = 71
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffff00000000000000
CIPHERTEXT = d93eae966fac46dca927d6b114fa3f9e
 
COUNT = 72
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffff80000000000000
CIPHERTEXT = 1bdec521316503d9d5ee65df3ea94ddf
 
COUNT = 73
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffc0000000000000
CIPHERTEXT = eef456431dea8b4acf83bdae3717f75f
 
COUNT = 74
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffe0000000000000
CIPHERTEXT = 06f2519a2fafaa596bfef5cfa15c21b9
 
COUNT = 75
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffff0000000000000
CIPHERTEXT = 251a7eac7e2fe809e4aa8d0d7012531a
 
COUNT = 76
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffff8000000000000
CIPHERTEXT = 3bffc16e4c49b268a20f8d96a60b4058
 
COUNT = 77
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffc000000000000
CIPHERTEXT = e886f9281999c5bb3b3e8862e2f7c988
 
COUNT = 78
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffe000000000000
CIPHERTEXT = 563bf90d61beef39f48dd625fcef1361
 
COUNT = 79
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffff000000000000
CIPHERTEXT = 4d37c850644563c69fd0acd9a049325b
 
COUNT = 80
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffff800000000000
CIPHERTEXT = b87c921b91829ef3b13ca541ee1130a6
 
COUNT = 81
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffc00000000000
CIPHERTEXT = 2e65eb6b6ea383e109accce8326b0393
 
COUNT = 82
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffe00000000000
CIPHERTEXT = 9ca547f7439edc3e255c0f4d49aa8990
 
COUNT = 83
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffff00000000000
CIPHERTEXT = a5e652614c9300f37816b1f9fd0c87f9
 
COUNT = 84
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffff80000000000
CIPHERTEXT = 14954f0b4697776f44494fe458d814ed
 
COUNT = 85
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffc0000000000
CIPHERTEXT = 7c8d9ab6c2761723fe42f8bb506cbcf7
 
COUNT = 86
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffe0000000000
CIPHERTEXT = db7e1932679fdd99742aab04aa0d5a80
 
COUNT = 87
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffff0000000000
CIPHERTEXT = 4c6a1c83e568cd10f27c2d73ded19c28
 
COUNT = 88
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffff8000000000
CIPHERTEXT = 90ecbe6177e674c98de412413f7ac915
 
COUNT = 89
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffc000000000
CIPHERTEXT = 90684a2ac55fe1ec2b8ebd5622520b73
 
COUNT = 90
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffe000000000
CIPHERTEXT = 7472f9a7988607ca79707795991035e6
 
COUNT = 91
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffff000000000
CIPHERTEXT = 56aff089878bf3352f8df172a3ae47d8
 
COUNT = 92
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffff800000000
CIPHERTEXT = 65c0526cbe40161b8019a2a3171abd23
 
COUNT = 93
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffc00000000
CIPHERTEXT = 377be0be33b4e3e310b4aabda173f84f
 
COUNT = 94
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffe00000000
CIPHERTEXT = 9402e9aa6f69de6504da8d20c4fcaa2f
 
COUNT = 95
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffff00000000
CIPHERTEXT = 123c1f4af313ad8c2ce648b2e71fb6e1
 
COUNT = 96
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffff80000000
CIPHERTEXT = 1ffc626d30203dcdb0019fb80f726cf4
 
COUNT = 97
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffc0000000
CIPHERTEXT = 76da1fbe3a50728c50fd2e621b5ad885
 
COUNT = 98
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffe0000000
CIPHERTEXT = 082eb8be35f442fb52668e16a591d1d6
 
COUNT = 99
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffff0000000
CIPHERTEXT = e656f9ecf5fe27ec3e4a73d00c282fb3
 
COUNT = 100
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffff8000000
CIPHERTEXT = 2ca8209d63274cd9a29bb74bcd77683a
 
COUNT = 101
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffc000000
CIPHERTEXT = 79bf5dce14bb7dd73a8e3611de7ce026
 
COUNT = 102
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffe000000
CIPHERTEXT = 3c849939a5d29399f344c4a0eca8a576
 
COUNT = 103
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffff000000
CIPHERTEXT = ed3c0a94d59bece98835da7aa4f07ca2
 
COUNT = 104
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffff800000
CIPHERTEXT = 63919ed4ce10196438b6ad09d99cd795
 
COUNT = 105
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffc00000
CIPHERTEXT = 7678f3a833f19fea95f3c6029e2bc610
 
COUNT = 106
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffe00000
CIPHERTEXT = 3aa426831067d36b92be7c5f81c13c56
 
COUNT = 107
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffff00000
CIPHERTEXT = 9272e2d2cdd11050998c845077a30ea0
 
COUNT = 108
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffff80000
CIPHERTEXT = 088c4b53f5ec0ff814c19adae7f6246c
 
COUNT = 109
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffc0000
CIPHERTEXT = 4010a5e401fdf0a0354ddbcc0d012b17
 
COUNT = 110
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffe0000
CIPHERTEXT = a87a385736c0a6189bd6589bd8445a93
 
COUNT = 111
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffff0000
CIPHERTEXT = 545f2b83d9616dccf60fa9830e9cd287
 
COUNT = 112
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffff8000
CIPHERTEXT = 4b706f7f92406352394037a6d4f4688d
 
COUNT = 113
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffc000
CIPHERTEXT = b7972b3941c44b90afa7b264bfba7387
 
COUNT = 114
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffe000
CIPHERTEXT = 6f45732cf10881546f0fd23896d2bb60
 
COUNT = 115
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffff000
CIPHERTEXT = 2e3579ca15af27f64b3c955a5bfc30ba
 
COUNT = 116
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffff800
CIPHERTEXT = 34a2c5a91ae2aec99b7d1b5fa6780447
 
COUNT = 117
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffc00
CIPHERTEXT = a4d6616bd04f87335b0e53351227a9ee
 
COUNT = 118
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffe00
CIPHERTEXT = 7f692b03945867d16179a8cefc83ea3f
 
COUNT = 119
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffff00
CIPHERTEXT = 3bd141ee84a0e6414a26e7a4f281f8a2
 
COUNT = 120
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffff80
CIPHERTEXT = d1788f572d98b2b16ec5d5f3922b99bc
 
COUNT = 121
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffffc0
CIPHERTEXT = 0833ff6f61d98a57b288e8c3586b85a6
 
COUNT = 122
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffffe0
CIPHERTEXT = 8568261797de176bf0b43becc6285afb
 
COUNT = 123
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffff0
CIPHERTEXT = f9b0fda0c4a898f5b9e6f661c4ce4d07
 
COUNT = 124
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffff8
CIPHERTEXT = 8ade895913685c67c5269f8aae42983e
 
COUNT = 125
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffffc
CIPHERTEXT = 39bde67d5c8ed8a8b1c37eb8fa9f5ac0
 
COUNT = 126
KEY = 00000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffffe
CIPHERTEXT = 5c005e72c1418c44f569f2ea33ba54f3
 
COUNT = 127
KEY = 00000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffffff
CIPHERTEXT = 3f5b8cc9ea855a0afa7347d23e8d664e
/trunk/verilog/sim/KAT_AES/ECBGFSbox128e.txt
0,0 → 1,36
[ENCRYPT]
 
COUNT = 0
KEY = 00000000000000000000000000000000
PLAINTEXT = f34481ec3cc627bacd5dc3fb08f273e6
CIPHERTEXT = 0336763e966d92595a567cc9ce537f5e
 
COUNT = 1
KEY = 00000000000000000000000000000000
PLAINTEXT = 9798c4640bad75c7c3227db910174e72
CIPHERTEXT = a9a1631bf4996954ebc093957b234589
 
COUNT = 2
KEY = 00000000000000000000000000000000
PLAINTEXT = 96ab5c2ff612d9dfaae8c31f30c42168
CIPHERTEXT = ff4f8391a6a40ca5b25d23bedd44a597
 
COUNT = 3
KEY = 00000000000000000000000000000000
PLAINTEXT = 6a118a874519e64e9963798a503f1d35
CIPHERTEXT = dc43be40be0e53712f7e2bf5ca707209
 
COUNT = 4
KEY = 00000000000000000000000000000000
PLAINTEXT = cb9fceec81286ca3e989bd979b0cb284
CIPHERTEXT = 92beedab1895a94faa69b632e5cc47ce
 
COUNT = 5
KEY = 00000000000000000000000000000000
PLAINTEXT = b26aeb1874e47ca8358ff22378f09144
CIPHERTEXT = 459264f4798f6a78bacb89c15ed3d601
 
COUNT = 6
KEY = 00000000000000000000000000000000
PLAINTEXT = 58c8e00b2631686d54eab84b91f0aca1
CIPHERTEXT = 08a4e2efec8a8e3312ca7460b9040bbf
/trunk/verilog/sim/KAT_AES/ECBGFSbox192d.txt
0,0 → 1,31
[DECRYPT]
 
COUNT = 0
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 275cfc0413d8ccb70513c3859b1d0f72
PLAINTEXT = 1b077a6af4b7f98229de786d7516b639
 
COUNT = 1
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = c9b8135ff1b5adc413dfd053b21bd96d
PLAINTEXT = 9c2d8842e5f48f57648205d39a239af1
 
COUNT = 2
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 4a3650c3371ce2eb35e389a171427440
PLAINTEXT = bff52510095f518ecca60af4205444bb
 
COUNT = 3
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 4f354592ff7c8847d2d0870ca9481b7c
PLAINTEXT = 51719783d3185a535bd75adc65071ce1
 
COUNT = 4
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = d5e08bf9a182e857cf40b3a36ee248cc
PLAINTEXT = 26aa49dcfe7629a8901a69a9914e6dfd
 
COUNT = 5
KEY = 000000000000000000000000000000000000000000000000
CIPHERTEXT = 067cd9d3749207791841562507fa9626
PLAINTEXT = 941a4773058224e1ef66d10e0a6ee782
/trunk/verilog/sim/KAT_AES/ECBGFSbox192e.txt
0,0 → 1,31
[ENCRYPT]
 
COUNT = 0
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = 1b077a6af4b7f98229de786d7516b639
CIPHERTEXT = 275cfc0413d8ccb70513c3859b1d0f72
 
COUNT = 1
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = 9c2d8842e5f48f57648205d39a239af1
CIPHERTEXT = c9b8135ff1b5adc413dfd053b21bd96d
 
COUNT = 2
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = bff52510095f518ecca60af4205444bb
CIPHERTEXT = 4a3650c3371ce2eb35e389a171427440
 
COUNT = 3
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = 51719783d3185a535bd75adc65071ce1
CIPHERTEXT = 4f354592ff7c8847d2d0870ca9481b7c
 
COUNT = 4
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = 26aa49dcfe7629a8901a69a9914e6dfd
CIPHERTEXT = d5e08bf9a182e857cf40b3a36ee248cc
 
COUNT = 5
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = 941a4773058224e1ef66d10e0a6ee782
CIPHERTEXT = 067cd9d3749207791841562507fa9626
/trunk/verilog/sim/KAT_AES/ECBVarTxt256d.txt
0,0 → 1,641
[DECRYPT]
 
COUNT = 0
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = ddc6bf790c15760d8d9aeb6f9a75fd4e
PLAINTEXT = 80000000000000000000000000000000
 
COUNT = 1
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 0a6bdc6d4c1e6280301fd8e97ddbe601
PLAINTEXT = c0000000000000000000000000000000
 
COUNT = 2
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 9b80eefb7ebe2d2b16247aa0efc72f5d
PLAINTEXT = e0000000000000000000000000000000
 
COUNT = 3
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 7f2c5ece07a98d8bee13c51177395ff7
PLAINTEXT = f0000000000000000000000000000000
 
COUNT = 4
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 7818d800dcf6f4be1e0e94f403d1e4c2
PLAINTEXT = f8000000000000000000000000000000
 
COUNT = 5
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = e74cd1c92f0919c35a0324123d6177d3
PLAINTEXT = fc000000000000000000000000000000
 
COUNT = 6
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 8092a4dcf2da7e77e93bdd371dfed82e
PLAINTEXT = fe000000000000000000000000000000
 
COUNT = 7
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 49af6b372135acef10132e548f217b17
PLAINTEXT = ff000000000000000000000000000000
 
COUNT = 8
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 8bcd40f94ebb63b9f7909676e667f1e7
PLAINTEXT = ff800000000000000000000000000000
 
COUNT = 9
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = fe1cffb83f45dcfb38b29be438dbd3ab
PLAINTEXT = ffc00000000000000000000000000000
 
COUNT = 10
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 0dc58a8d886623705aec15cb1e70dc0e
PLAINTEXT = ffe00000000000000000000000000000
 
COUNT = 11
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = c218faa16056bd0774c3e8d79c35a5e4
PLAINTEXT = fff00000000000000000000000000000
 
COUNT = 12
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 047bba83f7aa841731504e012208fc9e
PLAINTEXT = fff80000000000000000000000000000
 
COUNT = 13
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = dc8f0e4915fd81ba70a331310882f6da
PLAINTEXT = fffc0000000000000000000000000000
 
COUNT = 14
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1569859ea6b7206c30bf4fd0cbfac33c
PLAINTEXT = fffe0000000000000000000000000000
 
COUNT = 15
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 300ade92f88f48fa2df730ec16ef44cd
PLAINTEXT = ffff0000000000000000000000000000
 
COUNT = 16
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1fe6cc3c05965dc08eb0590c95ac71d0
PLAINTEXT = ffff8000000000000000000000000000
 
COUNT = 17
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 59e858eaaa97fec38111275b6cf5abc0
PLAINTEXT = ffffc000000000000000000000000000
 
COUNT = 18
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 2239455e7afe3b0616100288cc5a723b
PLAINTEXT = ffffe000000000000000000000000000
 
COUNT = 19
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 3ee500c5c8d63479717163e55c5c4522
PLAINTEXT = fffff000000000000000000000000000
 
COUNT = 20
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = d5e38bf15f16d90e3e214041d774daa8
PLAINTEXT = fffff800000000000000000000000000
 
COUNT = 21
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = b1f4066e6f4f187dfe5f2ad1b17819d0
PLAINTEXT = fffffc00000000000000000000000000
 
COUNT = 22
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 6ef4cc4de49b11065d7af2909854794a
PLAINTEXT = fffffe00000000000000000000000000
 
COUNT = 23
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = ac86bc606b6640c309e782f232bf367f
PLAINTEXT = ffffff00000000000000000000000000
 
COUNT = 24
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 36aff0ef7bf3280772cf4cac80a0d2b2
PLAINTEXT = ffffff80000000000000000000000000
 
COUNT = 25
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1f8eedea0f62a1406d58cfc3ecea72cf
PLAINTEXT = ffffffc0000000000000000000000000
 
COUNT = 26
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = abf4154a3375a1d3e6b1d454438f95a6
PLAINTEXT = ffffffe0000000000000000000000000
 
COUNT = 27
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 96f96e9d607f6615fc192061ee648b07
PLAINTEXT = fffffff0000000000000000000000000
 
COUNT = 28
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = cf37cdaaa0d2d536c71857634c792064
PLAINTEXT = fffffff8000000000000000000000000
 
COUNT = 29
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = fbd6640c80245c2b805373f130703127
PLAINTEXT = fffffffc000000000000000000000000
 
COUNT = 30
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 8d6a8afe55a6e481badae0d146f436db
PLAINTEXT = fffffffe000000000000000000000000
 
COUNT = 31
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 6a4981f2915e3e68af6c22385dd06756
PLAINTEXT = ffffffff000000000000000000000000
 
COUNT = 32
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 42a1136e5f8d8d21d3101998642d573b
PLAINTEXT = ffffffff800000000000000000000000
 
COUNT = 33
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 9b471596dc69ae1586cee6158b0b0181
PLAINTEXT = ffffffffc00000000000000000000000
 
COUNT = 34
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 753665c4af1eff33aa8b628bf8741cfd
PLAINTEXT = ffffffffe00000000000000000000000
 
COUNT = 35
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 9a682acf40be01f5b2a4193c9a82404d
PLAINTEXT = fffffffff00000000000000000000000
 
COUNT = 36
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 54fafe26e4287f17d1935f87eb9ade01
PLAINTEXT = fffffffff80000000000000000000000
 
COUNT = 37
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 49d541b2e74cfe73e6a8e8225f7bd449
PLAINTEXT = fffffffffc0000000000000000000000
 
COUNT = 38
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 11a45530f624ff6f76a1b3826626ff7b
PLAINTEXT = fffffffffe0000000000000000000000
 
COUNT = 39
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = f96b0c4a8bc6c86130289f60b43b8fba
PLAINTEXT = ffffffffff0000000000000000000000
 
COUNT = 40
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 48c7d0e80834ebdc35b6735f76b46c8b
PLAINTEXT = ffffffffff8000000000000000000000
 
COUNT = 41
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 2463531ab54d66955e73edc4cb8eaa45
PLAINTEXT = ffffffffffc000000000000000000000
 
COUNT = 42
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = ac9bd8e2530469134b9d5b065d4f565b
PLAINTEXT = ffffffffffe000000000000000000000
 
COUNT = 43
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 3f5f9106d0e52f973d4890e6f37e8a00
PLAINTEXT = fffffffffff000000000000000000000
 
COUNT = 44
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 20ebc86f1304d272e2e207e59db639f0
PLAINTEXT = fffffffffff800000000000000000000
 
COUNT = 45
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = e67ae6426bf9526c972cff072b52252c
PLAINTEXT = fffffffffffc00000000000000000000
 
COUNT = 46
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1a518dddaf9efa0d002cc58d107edfc8
PLAINTEXT = fffffffffffe00000000000000000000
 
COUNT = 47
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = ead731af4d3a2fe3b34bed047942a49f
PLAINTEXT = ffffffffffff00000000000000000000
 
COUNT = 48
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = b1d4efe40242f83e93b6c8d7efb5eae9
PLAINTEXT = ffffffffffff80000000000000000000
 
COUNT = 49
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = cd2b1fec11fd906c5c7630099443610a
PLAINTEXT = ffffffffffffc0000000000000000000
 
COUNT = 50
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = a1853fe47fe29289d153161d06387d21
PLAINTEXT = ffffffffffffe0000000000000000000
 
COUNT = 51
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 4632154179a555c17ea604d0889fab14
PLAINTEXT = fffffffffffff0000000000000000000
 
COUNT = 52
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = dd27cac6401a022e8f38f9f93e774417
PLAINTEXT = fffffffffffff8000000000000000000
 
COUNT = 53
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = c090313eb98674f35f3123385fb95d4d
PLAINTEXT = fffffffffffffc000000000000000000
 
COUNT = 54
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = cc3526262b92f02edce548f716b9f45c
PLAINTEXT = fffffffffffffe000000000000000000
 
COUNT = 55
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = c0838d1a2b16a7c7f0dfcc433c399c33
PLAINTEXT = ffffffffffffff000000000000000000
 
COUNT = 56
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 0d9ac756eb297695eed4d382eb126d26
PLAINTEXT = ffffffffffffff800000000000000000
 
COUNT = 57
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 56ede9dda3f6f141bff1757fa689c3e1
PLAINTEXT = ffffffffffffffc00000000000000000
 
COUNT = 58
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 768f520efe0f23e61d3ec8ad9ce91774
PLAINTEXT = ffffffffffffffe00000000000000000
 
COUNT = 59
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = b1144ddfa75755213390e7c596660490
PLAINTEXT = fffffffffffffff00000000000000000
 
COUNT = 60
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1d7c0c4040b355b9d107a99325e3b050
PLAINTEXT = fffffffffffffff80000000000000000
 
COUNT = 61
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = d8e2bb1ae8ee3dcf5bf7d6c38da82a1a
PLAINTEXT = fffffffffffffffc0000000000000000
 
COUNT = 62
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = faf82d178af25a9886a47e7f789b98d7
PLAINTEXT = fffffffffffffffe0000000000000000
 
COUNT = 63
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 9b58dbfd77fe5aca9cfc190cd1b82d19
PLAINTEXT = ffffffffffffffff0000000000000000
 
COUNT = 64
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 77f392089042e478ac16c0c86a0b5db5
PLAINTEXT = ffffffffffffffff8000000000000000
 
COUNT = 65
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 19f08e3420ee69b477ca1420281c4782
PLAINTEXT = ffffffffffffffffc000000000000000
 
COUNT = 66
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = a1b19beee4e117139f74b3c53fdcb875
PLAINTEXT = ffffffffffffffffe000000000000000
 
COUNT = 67
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = a37a5869b218a9f3a0868d19aea0ad6a
PLAINTEXT = fffffffffffffffff000000000000000
 
COUNT = 68
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = bc3594e865bcd0261b13202731f33580
PLAINTEXT = fffffffffffffffff800000000000000
 
COUNT = 69
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 811441ce1d309eee7185e8c752c07557
PLAINTEXT = fffffffffffffffffc00000000000000
 
COUNT = 70
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 959971ce4134190563518e700b9874d1
PLAINTEXT = fffffffffffffffffe00000000000000
 
COUNT = 71
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 76b5614a042707c98e2132e2e805fe63
PLAINTEXT = ffffffffffffffffff00000000000000
 
COUNT = 72
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 7d9fa6a57530d0f036fec31c230b0cc6
PLAINTEXT = ffffffffffffffffff80000000000000
 
COUNT = 73
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 964153a83bf6989a4ba80daa91c3e081
PLAINTEXT = ffffffffffffffffffc0000000000000
 
COUNT = 74
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = a013014d4ce8054cf2591d06f6f2f176
PLAINTEXT = ffffffffffffffffffe0000000000000
 
COUNT = 75
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = d1c5f6399bf382502e385eee1474a869
PLAINTEXT = fffffffffffffffffff0000000000000
 
COUNT = 76
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 0007e20b8298ec354f0f5fe7470f36bd
PLAINTEXT = fffffffffffffffffff8000000000000
 
COUNT = 77
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = b95ba05b332da61ef63a2b31fcad9879
PLAINTEXT = fffffffffffffffffffc000000000000
 
COUNT = 78
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 4620a49bd967491561669ab25dce45f4
PLAINTEXT = fffffffffffffffffffe000000000000
 
COUNT = 79
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 12e71214ae8e04f0bb63d7425c6f14d5
PLAINTEXT = ffffffffffffffffffff000000000000
 
COUNT = 80
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 4cc42fc1407b008fe350907c092e80ac
PLAINTEXT = ffffffffffffffffffff800000000000
 
COUNT = 81
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 08b244ce7cbc8ee97fbba808cb146fda
PLAINTEXT = ffffffffffffffffffffc00000000000
 
COUNT = 82
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 39b333e8694f21546ad1edd9d87ed95b
PLAINTEXT = ffffffffffffffffffffe00000000000
 
COUNT = 83
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 3b271f8ab2e6e4a20ba8090f43ba78f3
PLAINTEXT = fffffffffffffffffffff00000000000
 
COUNT = 84
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 9ad983f3bf651cd0393f0a73cccdea50
PLAINTEXT = fffffffffffffffffffff80000000000
 
COUNT = 85
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 8f476cbff75c1f725ce18e4bbcd19b32
PLAINTEXT = fffffffffffffffffffffc0000000000
 
COUNT = 86
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 905b6267f1d6ab5320835a133f096f2a
PLAINTEXT = fffffffffffffffffffffe0000000000
 
COUNT = 87
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 145b60d6d0193c23f4221848a892d61a
PLAINTEXT = ffffffffffffffffffffff0000000000
 
COUNT = 88
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 55cfb3fb6d75cad0445bbc8dafa25b0f
PLAINTEXT = ffffffffffffffffffffff8000000000
 
COUNT = 89
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 7b8e7098e357ef71237d46d8b075b0f5
PLAINTEXT = ffffffffffffffffffffffc000000000
 
COUNT = 90
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 2bf27229901eb40f2df9d8398d1505ae
PLAINTEXT = ffffffffffffffffffffffe000000000
 
COUNT = 91
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 83a63402a77f9ad5c1e931a931ecd706
PLAINTEXT = fffffffffffffffffffffff000000000
 
COUNT = 92
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 6f8ba6521152d31f2bada1843e26b973
PLAINTEXT = fffffffffffffffffffffff800000000
 
COUNT = 93
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = e5c3b8e30fd2d8e6239b17b44bd23bbd
PLAINTEXT = fffffffffffffffffffffffc00000000
 
COUNT = 94
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1ac1f7102c59933e8b2ddc3f14e94baa
PLAINTEXT = fffffffffffffffffffffffe00000000
 
COUNT = 95
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 21d9ba49f276b45f11af8fc71a088e3d
PLAINTEXT = ffffffffffffffffffffffff00000000
 
COUNT = 96
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 649f1cddc3792b4638635a392bc9bade
PLAINTEXT = ffffffffffffffffffffffff80000000
 
COUNT = 97
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = e2775e4b59c1bc2e31a2078c11b5a08c
PLAINTEXT = ffffffffffffffffffffffffc0000000
 
COUNT = 98
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 2be1fae5048a25582a679ca10905eb80
PLAINTEXT = ffffffffffffffffffffffffe0000000
 
COUNT = 99
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = da86f292c6f41ea34fb2068df75ecc29
PLAINTEXT = fffffffffffffffffffffffff0000000
 
COUNT = 100
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 220df19f85d69b1b562fa69a3c5beca5
PLAINTEXT = fffffffffffffffffffffffff8000000
 
COUNT = 101
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1f11d5d0355e0b556ccdb6c7f5083b4d
PLAINTEXT = fffffffffffffffffffffffffc000000
 
COUNT = 102
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 62526b78be79cb384633c91f83b4151b
PLAINTEXT = fffffffffffffffffffffffffe000000
 
COUNT = 103
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 90ddbcb950843592dd47bbef00fdc876
PLAINTEXT = ffffffffffffffffffffffffff000000
 
COUNT = 104
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 2fd0e41c5b8402277354a7391d2618e2
PLAINTEXT = ffffffffffffffffffffffffff800000
 
COUNT = 105
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 3cdf13e72dee4c581bafec70b85f9660
PLAINTEXT = ffffffffffffffffffffffffffc00000
 
COUNT = 106
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = afa2ffc137577092e2b654fa199d2c43
PLAINTEXT = ffffffffffffffffffffffffffe00000
 
COUNT = 107
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 8d683ee63e60d208e343ce48dbc44cac
PLAINTEXT = fffffffffffffffffffffffffff00000
 
COUNT = 108
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 705a4ef8ba2133729c20185c3d3a4763
PLAINTEXT = fffffffffffffffffffffffffff80000
 
COUNT = 109
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 0861a861c3db4e94194211b77ed761b9
PLAINTEXT = fffffffffffffffffffffffffffc0000
 
COUNT = 110
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 4b00c27e8b26da7eab9d3a88dec8b031
PLAINTEXT = fffffffffffffffffffffffffffe0000
 
COUNT = 111
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 5f397bf03084820cc8810d52e5b666e9
PLAINTEXT = ffffffffffffffffffffffffffff0000
 
COUNT = 112
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 63fafabb72c07bfbd3ddc9b1203104b8
PLAINTEXT = ffffffffffffffffffffffffffff8000
 
COUNT = 113
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 683e2140585b18452dd4ffbb93c95df9
PLAINTEXT = ffffffffffffffffffffffffffffc000
 
COUNT = 114
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 286894e48e537f8763b56707d7d155c8
PLAINTEXT = ffffffffffffffffffffffffffffe000
 
COUNT = 115
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = a423deabc173dcf7e2c4c53e77d37cd1
PLAINTEXT = fffffffffffffffffffffffffffff000
 
COUNT = 116
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = eb8168313e1cfdfdb5e986d5429cf172
PLAINTEXT = fffffffffffffffffffffffffffff800
 
COUNT = 117
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 27127daafc9accd2fb334ec3eba52323
PLAINTEXT = fffffffffffffffffffffffffffffc00
 
COUNT = 118
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = ee0715b96f72e3f7a22a5064fc592f4c
PLAINTEXT = fffffffffffffffffffffffffffffe00
 
COUNT = 119
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 29ee526770f2a11dcfa989d1ce88830f
PLAINTEXT = ffffffffffffffffffffffffffffff00
 
COUNT = 120
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 0493370e054b09871130fe49af730a5a
PLAINTEXT = ffffffffffffffffffffffffffffff80
 
COUNT = 121
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 9b7b940f6c509f9e44a4ee140448ee46
PLAINTEXT = ffffffffffffffffffffffffffffffc0
 
COUNT = 122
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 2915be4a1ecfdcbe3e023811a12bb6c7
PLAINTEXT = ffffffffffffffffffffffffffffffe0
 
COUNT = 123
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 7240e524bc51d8c4d440b1be55d1062c
PLAINTEXT = fffffffffffffffffffffffffffffff0
 
COUNT = 124
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = da63039d38cb4612b2dc36ba26684b93
PLAINTEXT = fffffffffffffffffffffffffffffff8
 
COUNT = 125
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 0f59cb5a4b522e2ac56c1a64f558ad9a
PLAINTEXT = fffffffffffffffffffffffffffffffc
 
COUNT = 126
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 7bfe9d876c6d63c1d035da8fe21c409d
PLAINTEXT = fffffffffffffffffffffffffffffffe
 
COUNT = 127
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = acdace8078a32b1a182bfa4987ca1347
PLAINTEXT = ffffffffffffffffffffffffffffffff
/trunk/verilog/sim/KAT_AES/ECBVarTxt192e.txt
0,0 → 1,641
[ENCRYPT]
 
COUNT = 0
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = 80000000000000000000000000000000
CIPHERTEXT = 6cd02513e8d4dc986b4afe087a60bd0c
 
COUNT = 1
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = c0000000000000000000000000000000
CIPHERTEXT = 2ce1f8b7e30627c1c4519eada44bc436
 
COUNT = 2
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = e0000000000000000000000000000000
CIPHERTEXT = 9946b5f87af446f5796c1fee63a2da24
 
COUNT = 3
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = f0000000000000000000000000000000
CIPHERTEXT = 2a560364ce529efc21788779568d5555
 
COUNT = 4
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = f8000000000000000000000000000000
CIPHERTEXT = 35c1471837af446153bce55d5ba72a0a
 
COUNT = 5
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fc000000000000000000000000000000
CIPHERTEXT = ce60bc52386234f158f84341e534cd9e
 
COUNT = 6
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fe000000000000000000000000000000
CIPHERTEXT = 8c7c27ff32bcf8dc2dc57c90c2903961
 
COUNT = 7
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ff000000000000000000000000000000
CIPHERTEXT = 32bb6a7ec84499e166f936003d55a5bb
 
COUNT = 8
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ff800000000000000000000000000000
CIPHERTEXT = a5c772e5c62631ef660ee1d5877f6d1b
 
COUNT = 9
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffc00000000000000000000000000000
CIPHERTEXT = 030d7e5b64f380a7e4ea5387b5cd7f49
 
COUNT = 10
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffe00000000000000000000000000000
CIPHERTEXT = 0dc9a2610037009b698f11bb7e86c83e
 
COUNT = 11
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fff00000000000000000000000000000
CIPHERTEXT = 0046612c766d1840c226364f1fa7ed72
 
COUNT = 12
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fff80000000000000000000000000000
CIPHERTEXT = 4880c7e08f27befe78590743c05e698b
 
COUNT = 13
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffc0000000000000000000000000000
CIPHERTEXT = 2520ce829a26577f0f4822c4ecc87401
 
COUNT = 14
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffe0000000000000000000000000000
CIPHERTEXT = 8765e8acc169758319cb46dc7bcf3dca
 
COUNT = 15
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffff0000000000000000000000000000
CIPHERTEXT = e98f4ba4f073df4baa116d011dc24a28
 
COUNT = 16
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffff8000000000000000000000000000
CIPHERTEXT = f378f68c5dbf59e211b3a659a7317d94
 
COUNT = 17
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffc000000000000000000000000000
CIPHERTEXT = 283d3b069d8eb9fb432d74b96ca762b4
 
COUNT = 18
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffe000000000000000000000000000
CIPHERTEXT = a7e1842e8a87861c221a500883245c51
 
COUNT = 19
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffff000000000000000000000000000
CIPHERTEXT = 77aa270471881be070fb52c7067ce732
 
COUNT = 20
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffff800000000000000000000000000
CIPHERTEXT = 01b0f476d484f43f1aeb6efa9361a8ac
 
COUNT = 21
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffc00000000000000000000000000
CIPHERTEXT = 1c3a94f1c052c55c2d8359aff2163b4f
 
COUNT = 22
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffe00000000000000000000000000
CIPHERTEXT = e8a067b604d5373d8b0f2e05a03b341b
 
COUNT = 23
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffff00000000000000000000000000
CIPHERTEXT = a7876ec87f5a09bfea42c77da30fd50e
 
COUNT = 24
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffff80000000000000000000000000
CIPHERTEXT = 0cf3e9d3a42be5b854ca65b13f35f48d
 
COUNT = 25
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffc0000000000000000000000000
CIPHERTEXT = 6c62f6bbcab7c3e821c9290f08892dda
 
COUNT = 26
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffe0000000000000000000000000
CIPHERTEXT = 7f5e05bd2068738196fee79ace7e3aec
 
COUNT = 27
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffff0000000000000000000000000
CIPHERTEXT = 440e0d733255cda92fb46e842fe58054
 
COUNT = 28
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffff8000000000000000000000000
CIPHERTEXT = aa5d5b1c4ea1b7a22e5583ac2e9ed8a7
 
COUNT = 29
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffc000000000000000000000000
CIPHERTEXT = 77e537e89e8491e8662aae3bc809421d
 
COUNT = 30
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffe000000000000000000000000
CIPHERTEXT = 997dd3e9f1598bfa73f75973f7e93b76
 
COUNT = 31
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffff000000000000000000000000
CIPHERTEXT = 1b38d4f7452afefcb7fc721244e4b72e
 
COUNT = 32
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffff800000000000000000000000
CIPHERTEXT = 0be2b18252e774dda30cdda02c6906e3
 
COUNT = 33
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffc00000000000000000000000
CIPHERTEXT = d2695e59c20361d82652d7d58b6f11b2
 
COUNT = 34
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffe00000000000000000000000
CIPHERTEXT = 902d88d13eae52089abd6143cfe394e9
 
COUNT = 35
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffff00000000000000000000000
CIPHERTEXT = d49bceb3b823fedd602c305345734bd2
 
COUNT = 36
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffff80000000000000000000000
CIPHERTEXT = 707b1dbb0ffa40ef7d95def421233fae
 
COUNT = 37
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffc0000000000000000000000
CIPHERTEXT = 7ca0c1d93356d9eb8aa952084d75f913
 
COUNT = 38
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffe0000000000000000000000
CIPHERTEXT = f2cbf9cb186e270dd7bdb0c28febc57d
 
COUNT = 39
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffff0000000000000000000000
CIPHERTEXT = c94337c37c4e790ab45780bd9c3674a0
 
COUNT = 40
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffff8000000000000000000000
CIPHERTEXT = 8e3558c135252fb9c9f367ed609467a1
 
COUNT = 41
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffc000000000000000000000
CIPHERTEXT = 1b72eeaee4899b443914e5b3a57fba92
 
COUNT = 42
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffe000000000000000000000
CIPHERTEXT = 011865f91bc56868d051e52c9efd59b7
 
COUNT = 43
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffff000000000000000000000
CIPHERTEXT = e4771318ad7a63dd680f6e583b7747ea
 
COUNT = 44
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffff800000000000000000000
CIPHERTEXT = 61e3d194088dc8d97e9e6db37457eac5
 
COUNT = 45
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffc00000000000000000000
CIPHERTEXT = 36ff1ec9ccfbc349e5d356d063693ad6
 
COUNT = 46
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffe00000000000000000000
CIPHERTEXT = 3cc9e9a9be8cc3f6fb2ea24088e9bb19
 
COUNT = 47
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffff00000000000000000000
CIPHERTEXT = 1ee5ab003dc8722e74905d9a8fe3d350
 
COUNT = 48
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffff80000000000000000000
CIPHERTEXT = 245339319584b0a412412869d6c2eada
 
COUNT = 49
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffc0000000000000000000
CIPHERTEXT = 7bd496918115d14ed5380852716c8814
 
COUNT = 50
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffe0000000000000000000
CIPHERTEXT = 273ab2f2b4a366a57d582a339313c8b1
 
COUNT = 51
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffff0000000000000000000
CIPHERTEXT = 113365a9ffbe3b0ca61e98507554168b
 
COUNT = 52
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffff8000000000000000000
CIPHERTEXT = afa99c997ac478a0dea4119c9e45f8b1
 
COUNT = 53
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffc000000000000000000
CIPHERTEXT = 9216309a7842430b83ffb98638011512
 
COUNT = 54
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffe000000000000000000
CIPHERTEXT = 62abc792288258492a7cb45145f4b759
 
COUNT = 55
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffff000000000000000000
CIPHERTEXT = 534923c169d504d7519c15d30e756c50
 
COUNT = 56
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffff800000000000000000
CIPHERTEXT = fa75e05bcdc7e00c273fa33f6ee441d2
 
COUNT = 57
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffc00000000000000000
CIPHERTEXT = 7d350fa6057080f1086a56b17ec240db
 
COUNT = 58
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffe00000000000000000
CIPHERTEXT = f34e4a6324ea4a5c39a661c8fe5ada8f
 
COUNT = 59
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffff00000000000000000
CIPHERTEXT = 0882a16f44088d42447a29ac090ec17e
 
COUNT = 60
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffff80000000000000000
CIPHERTEXT = 3a3c15bfc11a9537c130687004e136ee
 
COUNT = 61
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffc0000000000000000
CIPHERTEXT = 22c0a7678dc6d8cf5c8a6d5a9960767c
 
COUNT = 62
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffe0000000000000000
CIPHERTEXT = b46b09809d68b9a456432a79bdc2e38c
 
COUNT = 63
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffff0000000000000000
CIPHERTEXT = 93baaffb35fbe739c17c6ac22eecf18f
 
COUNT = 64
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffff8000000000000000
CIPHERTEXT = c8aa80a7850675bc007c46df06b49868
 
COUNT = 65
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffc000000000000000
CIPHERTEXT = 12c6f3877af421a918a84b775858021d
 
COUNT = 66
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffe000000000000000
CIPHERTEXT = 33f123282c5d633924f7d5ba3f3cab11
 
COUNT = 67
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffff000000000000000
CIPHERTEXT = a8f161002733e93ca4527d22c1a0c5bb
 
COUNT = 68
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffff800000000000000
CIPHERTEXT = b72f70ebf3e3fda23f508eec76b42c02
 
COUNT = 69
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffc00000000000000
CIPHERTEXT = 6a9d965e6274143f25afdcfc88ffd77c
 
COUNT = 70
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffe00000000000000
CIPHERTEXT = a0c74fd0b9361764ce91c5200b095357
 
COUNT = 71
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffff00000000000000
CIPHERTEXT = 091d1fdc2bd2c346cd5046a8c6209146
 
COUNT = 72
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffff80000000000000
CIPHERTEXT = e2a37580116cfb71856254496ab0aca8
 
COUNT = 73
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffc0000000000000
CIPHERTEXT = e0b3a00785917c7efc9adba322813571
 
COUNT = 74
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffe0000000000000
CIPHERTEXT = 733d41f4727b5ef0df4af4cf3cffa0cb
 
COUNT = 75
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffff0000000000000
CIPHERTEXT = a99ebb030260826f981ad3e64490aa4f
 
COUNT = 76
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffff8000000000000
CIPHERTEXT = 73f34c7d3eae5e80082c1647524308ee
 
COUNT = 77
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffc000000000000
CIPHERTEXT = 40ebd5ad082345b7a2097ccd3464da02
 
COUNT = 78
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffe000000000000
CIPHERTEXT = 7cc4ae9a424b2cec90c97153c2457ec5
 
COUNT = 79
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffff000000000000
CIPHERTEXT = 54d632d03aba0bd0f91877ebdd4d09cb
 
COUNT = 80
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffff800000000000
CIPHERTEXT = d3427be7e4d27cd54f5fe37b03cf0897
 
COUNT = 81
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffc00000000000
CIPHERTEXT = b2099795e88cc158fd75ea133d7e7fbe
 
COUNT = 82
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffe00000000000
CIPHERTEXT = a6cae46fb6fadfe7a2c302a34242817b
 
COUNT = 83
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffff00000000000
CIPHERTEXT = 026a7024d6a902e0b3ffccbaa910cc3f
 
COUNT = 84
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffff80000000000
CIPHERTEXT = 156f07767a85a4312321f63968338a01
 
COUNT = 85
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffc0000000000
CIPHERTEXT = 15eec9ebf42b9ca76897d2cd6c5a12e2
 
COUNT = 86
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffe0000000000
CIPHERTEXT = db0d3a6fdcc13f915e2b302ceeb70fd8
 
COUNT = 87
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffff0000000000
CIPHERTEXT = 71dbf37e87a2e34d15b20e8f10e48924
 
COUNT = 88
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffff8000000000
CIPHERTEXT = c745c451e96ff3c045e4367c833e3b54
 
COUNT = 89
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffc000000000
CIPHERTEXT = 340da09c2dd11c3b679d08ccd27dd595
 
COUNT = 90
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffe000000000
CIPHERTEXT = 8279f7c0c2a03ee660c6d392db025d18
 
COUNT = 91
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffff000000000
CIPHERTEXT = a4b2c7d8eba531ff47c5041a55fbd1ec
 
COUNT = 92
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffff800000000
CIPHERTEXT = 74569a2ca5a7bd5131ce8dc7cbfbf72f
 
COUNT = 93
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffc00000000
CIPHERTEXT = 3713da0c0219b63454035613b5a403dd
 
COUNT = 94
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffe00000000
CIPHERTEXT = 8827551ddcc9df23fa72a3de4e9f0b07
 
COUNT = 95
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffff00000000
CIPHERTEXT = 2e3febfd625bfcd0a2c06eb460da1732
 
COUNT = 96
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffff80000000
CIPHERTEXT = ee82e6ba488156f76496311da6941deb
 
COUNT = 97
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffc0000000
CIPHERTEXT = 4770446f01d1f391256e85a1b30d89d3
 
COUNT = 98
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffe0000000
CIPHERTEXT = af04b68f104f21ef2afb4767cf74143c
 
COUNT = 99
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffff0000000
CIPHERTEXT = cf3579a9ba38c8e43653173e14f3a4c6
 
COUNT = 100
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffff8000000
CIPHERTEXT = b3bba904f4953e09b54800af2f62e7d4
 
COUNT = 101
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffc000000
CIPHERTEXT = fc4249656e14b29eb9c44829b4c59a46
 
COUNT = 102
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffe000000
CIPHERTEXT = 9b31568febe81cfc2e65af1c86d1a308
 
COUNT = 103
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffff000000
CIPHERTEXT = 9ca09c25f273a766db98a480ce8dfedc
 
COUNT = 104
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffff800000
CIPHERTEXT = b909925786f34c3c92d971883c9fbedf
 
COUNT = 105
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffc00000
CIPHERTEXT = 82647f1332fe570a9d4d92b2ee771d3b
 
COUNT = 106
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffe00000
CIPHERTEXT = 3604a7e80832b3a99954bca6f5b9f501
 
COUNT = 107
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffff00000
CIPHERTEXT = 884607b128c5de3ab39a529a1ef51bef
 
COUNT = 108
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffff80000
CIPHERTEXT = 670cfa093d1dbdb2317041404102435e
 
COUNT = 109
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffc0000
CIPHERTEXT = 7a867195f3ce8769cbd336502fbb5130
 
COUNT = 110
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffe0000
CIPHERTEXT = 52efcf64c72b2f7ca5b3c836b1078c15
 
COUNT = 111
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffff0000
CIPHERTEXT = 4019250f6eefb2ac5ccbcae044e75c7e
 
COUNT = 112
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffff8000
CIPHERTEXT = 022c4f6f5a017d292785627667ddef24
 
COUNT = 113
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffc000
CIPHERTEXT = e9c21078a2eb7e03250f71000fa9e3ed
 
COUNT = 114
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffe000
CIPHERTEXT = a13eaeeb9cd391da4e2b09490b3e7fad
 
COUNT = 115
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffff000
CIPHERTEXT = c958a171dca1d4ed53e1af1d380803a9
 
COUNT = 116
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffff800
CIPHERTEXT = 21442e07a110667f2583eaeeee44dc8c
 
COUNT = 117
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffc00
CIPHERTEXT = 59bbb353cf1dd867a6e33737af655e99
 
COUNT = 118
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffe00
CIPHERTEXT = 43cd3b25375d0ce41087ff9fe2829639
 
COUNT = 119
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffff00
CIPHERTEXT = 6b98b17e80d1118e3516bd768b285a84
 
COUNT = 120
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffff80
CIPHERTEXT = ae47ed3676ca0c08deea02d95b81db58
 
COUNT = 121
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffffc0
CIPHERTEXT = 34ec40dc20413795ed53628ea748720b
 
COUNT = 122
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffffe0
CIPHERTEXT = 4dc68163f8e9835473253542c8a65d46
 
COUNT = 123
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffff0
CIPHERTEXT = 2aabb999f43693175af65c6c612c46fb
 
COUNT = 124
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffff8
CIPHERTEXT = e01f94499dac3547515c5b1d756f0f58
 
COUNT = 125
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffffc
CIPHERTEXT = 9d12435a46480ce00ea349f71799df9a
 
COUNT = 126
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffffe
CIPHERTEXT = cef41d16d266bdfe46938ad7884cc0cf
 
COUNT = 127
KEY = 000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffffff
CIPHERTEXT = b13db4da1f718bc6904797c82bcf2d32
/trunk/verilog/sim/KAT_AES/ECBGFSbox256d.txt
0,0 → 1,26
[DECRYPT]
 
COUNT = 0
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 5c9d844ed46f9885085e5d6a4f94c7d7
PLAINTEXT = 014730f80ac625fe84f026c60bfd547d
 
COUNT = 1
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = a9ff75bd7cf6613d3731c77c3b6d0c04
PLAINTEXT = 0b24af36193ce4665f2825d7b4749c98
 
COUNT = 2
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 623a52fcea5d443e48d9181ab32c7421
PLAINTEXT = 761c1fe41a18acf20d241650611d90f1
 
COUNT = 3
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 38f2c7ae10612415d27ca190d27da8b4
PLAINTEXT = 8a560769d605868ad80d819bdba03771
 
COUNT = 4
KEY = 0000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1bc704f1bce135ceb810341b216d7abe
PLAINTEXT = 91fbef2d15a97816060bee1feaa49afe
/trunk/verilog/sim/KAT_AES/ECBVarTxt256e.txt
0,0 → 1,641
[ENCRYPT]
 
COUNT = 0
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 80000000000000000000000000000000
CIPHERTEXT = ddc6bf790c15760d8d9aeb6f9a75fd4e
 
COUNT = 1
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = c0000000000000000000000000000000
CIPHERTEXT = 0a6bdc6d4c1e6280301fd8e97ddbe601
 
COUNT = 2
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = e0000000000000000000000000000000
CIPHERTEXT = 9b80eefb7ebe2d2b16247aa0efc72f5d
 
COUNT = 3
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = f0000000000000000000000000000000
CIPHERTEXT = 7f2c5ece07a98d8bee13c51177395ff7
 
COUNT = 4
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = f8000000000000000000000000000000
CIPHERTEXT = 7818d800dcf6f4be1e0e94f403d1e4c2
 
COUNT = 5
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fc000000000000000000000000000000
CIPHERTEXT = e74cd1c92f0919c35a0324123d6177d3
 
COUNT = 6
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fe000000000000000000000000000000
CIPHERTEXT = 8092a4dcf2da7e77e93bdd371dfed82e
 
COUNT = 7
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ff000000000000000000000000000000
CIPHERTEXT = 49af6b372135acef10132e548f217b17
 
COUNT = 8
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ff800000000000000000000000000000
CIPHERTEXT = 8bcd40f94ebb63b9f7909676e667f1e7
 
COUNT = 9
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffc00000000000000000000000000000
CIPHERTEXT = fe1cffb83f45dcfb38b29be438dbd3ab
 
COUNT = 10
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffe00000000000000000000000000000
CIPHERTEXT = 0dc58a8d886623705aec15cb1e70dc0e
 
COUNT = 11
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fff00000000000000000000000000000
CIPHERTEXT = c218faa16056bd0774c3e8d79c35a5e4
 
COUNT = 12
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fff80000000000000000000000000000
CIPHERTEXT = 047bba83f7aa841731504e012208fc9e
 
COUNT = 13
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffc0000000000000000000000000000
CIPHERTEXT = dc8f0e4915fd81ba70a331310882f6da
 
COUNT = 14
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffe0000000000000000000000000000
CIPHERTEXT = 1569859ea6b7206c30bf4fd0cbfac33c
 
COUNT = 15
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffff0000000000000000000000000000
CIPHERTEXT = 300ade92f88f48fa2df730ec16ef44cd
 
COUNT = 16
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffff8000000000000000000000000000
CIPHERTEXT = 1fe6cc3c05965dc08eb0590c95ac71d0
 
COUNT = 17
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffc000000000000000000000000000
CIPHERTEXT = 59e858eaaa97fec38111275b6cf5abc0
 
COUNT = 18
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffe000000000000000000000000000
CIPHERTEXT = 2239455e7afe3b0616100288cc5a723b
 
COUNT = 19
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffff000000000000000000000000000
CIPHERTEXT = 3ee500c5c8d63479717163e55c5c4522
 
COUNT = 20
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffff800000000000000000000000000
CIPHERTEXT = d5e38bf15f16d90e3e214041d774daa8
 
COUNT = 21
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffc00000000000000000000000000
CIPHERTEXT = b1f4066e6f4f187dfe5f2ad1b17819d0
 
COUNT = 22
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffe00000000000000000000000000
CIPHERTEXT = 6ef4cc4de49b11065d7af2909854794a
 
COUNT = 23
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffff00000000000000000000000000
CIPHERTEXT = ac86bc606b6640c309e782f232bf367f
 
COUNT = 24
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffff80000000000000000000000000
CIPHERTEXT = 36aff0ef7bf3280772cf4cac80a0d2b2
 
COUNT = 25
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffc0000000000000000000000000
CIPHERTEXT = 1f8eedea0f62a1406d58cfc3ecea72cf
 
COUNT = 26
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffe0000000000000000000000000
CIPHERTEXT = abf4154a3375a1d3e6b1d454438f95a6
 
COUNT = 27
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffff0000000000000000000000000
CIPHERTEXT = 96f96e9d607f6615fc192061ee648b07
 
COUNT = 28
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffff8000000000000000000000000
CIPHERTEXT = cf37cdaaa0d2d536c71857634c792064
 
COUNT = 29
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffc000000000000000000000000
CIPHERTEXT = fbd6640c80245c2b805373f130703127
 
COUNT = 30
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffe000000000000000000000000
CIPHERTEXT = 8d6a8afe55a6e481badae0d146f436db
 
COUNT = 31
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffff000000000000000000000000
CIPHERTEXT = 6a4981f2915e3e68af6c22385dd06756
 
COUNT = 32
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffff800000000000000000000000
CIPHERTEXT = 42a1136e5f8d8d21d3101998642d573b
 
COUNT = 33
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffc00000000000000000000000
CIPHERTEXT = 9b471596dc69ae1586cee6158b0b0181
 
COUNT = 34
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffe00000000000000000000000
CIPHERTEXT = 753665c4af1eff33aa8b628bf8741cfd
 
COUNT = 35
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffff00000000000000000000000
CIPHERTEXT = 9a682acf40be01f5b2a4193c9a82404d
 
COUNT = 36
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffff80000000000000000000000
CIPHERTEXT = 54fafe26e4287f17d1935f87eb9ade01
 
COUNT = 37
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffc0000000000000000000000
CIPHERTEXT = 49d541b2e74cfe73e6a8e8225f7bd449
 
COUNT = 38
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffe0000000000000000000000
CIPHERTEXT = 11a45530f624ff6f76a1b3826626ff7b
 
COUNT = 39
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffff0000000000000000000000
CIPHERTEXT = f96b0c4a8bc6c86130289f60b43b8fba
 
COUNT = 40
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffff8000000000000000000000
CIPHERTEXT = 48c7d0e80834ebdc35b6735f76b46c8b
 
COUNT = 41
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffc000000000000000000000
CIPHERTEXT = 2463531ab54d66955e73edc4cb8eaa45
 
COUNT = 42
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffe000000000000000000000
CIPHERTEXT = ac9bd8e2530469134b9d5b065d4f565b
 
COUNT = 43
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffff000000000000000000000
CIPHERTEXT = 3f5f9106d0e52f973d4890e6f37e8a00
 
COUNT = 44
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffff800000000000000000000
CIPHERTEXT = 20ebc86f1304d272e2e207e59db639f0
 
COUNT = 45
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffc00000000000000000000
CIPHERTEXT = e67ae6426bf9526c972cff072b52252c
 
COUNT = 46
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffe00000000000000000000
CIPHERTEXT = 1a518dddaf9efa0d002cc58d107edfc8
 
COUNT = 47
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffff00000000000000000000
CIPHERTEXT = ead731af4d3a2fe3b34bed047942a49f
 
COUNT = 48
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffff80000000000000000000
CIPHERTEXT = b1d4efe40242f83e93b6c8d7efb5eae9
 
COUNT = 49
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffc0000000000000000000
CIPHERTEXT = cd2b1fec11fd906c5c7630099443610a
 
COUNT = 50
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffe0000000000000000000
CIPHERTEXT = a1853fe47fe29289d153161d06387d21
 
COUNT = 51
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffff0000000000000000000
CIPHERTEXT = 4632154179a555c17ea604d0889fab14
 
COUNT = 52
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffff8000000000000000000
CIPHERTEXT = dd27cac6401a022e8f38f9f93e774417
 
COUNT = 53
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffc000000000000000000
CIPHERTEXT = c090313eb98674f35f3123385fb95d4d
 
COUNT = 54
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffe000000000000000000
CIPHERTEXT = cc3526262b92f02edce548f716b9f45c
 
COUNT = 55
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffff000000000000000000
CIPHERTEXT = c0838d1a2b16a7c7f0dfcc433c399c33
 
COUNT = 56
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffff800000000000000000
CIPHERTEXT = 0d9ac756eb297695eed4d382eb126d26
 
COUNT = 57
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffc00000000000000000
CIPHERTEXT = 56ede9dda3f6f141bff1757fa689c3e1
 
COUNT = 58
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffe00000000000000000
CIPHERTEXT = 768f520efe0f23e61d3ec8ad9ce91774
 
COUNT = 59
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffff00000000000000000
CIPHERTEXT = b1144ddfa75755213390e7c596660490
 
COUNT = 60
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffff80000000000000000
CIPHERTEXT = 1d7c0c4040b355b9d107a99325e3b050
 
COUNT = 61
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffc0000000000000000
CIPHERTEXT = d8e2bb1ae8ee3dcf5bf7d6c38da82a1a
 
COUNT = 62
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffe0000000000000000
CIPHERTEXT = faf82d178af25a9886a47e7f789b98d7
 
COUNT = 63
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffff0000000000000000
CIPHERTEXT = 9b58dbfd77fe5aca9cfc190cd1b82d19
 
COUNT = 64
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffff8000000000000000
CIPHERTEXT = 77f392089042e478ac16c0c86a0b5db5
 
COUNT = 65
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffc000000000000000
CIPHERTEXT = 19f08e3420ee69b477ca1420281c4782
 
COUNT = 66
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffe000000000000000
CIPHERTEXT = a1b19beee4e117139f74b3c53fdcb875
 
COUNT = 67
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffff000000000000000
CIPHERTEXT = a37a5869b218a9f3a0868d19aea0ad6a
 
COUNT = 68
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffff800000000000000
CIPHERTEXT = bc3594e865bcd0261b13202731f33580
 
COUNT = 69
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffc00000000000000
CIPHERTEXT = 811441ce1d309eee7185e8c752c07557
 
COUNT = 70
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffe00000000000000
CIPHERTEXT = 959971ce4134190563518e700b9874d1
 
COUNT = 71
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffff00000000000000
CIPHERTEXT = 76b5614a042707c98e2132e2e805fe63
 
COUNT = 72
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffff80000000000000
CIPHERTEXT = 7d9fa6a57530d0f036fec31c230b0cc6
 
COUNT = 73
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffc0000000000000
CIPHERTEXT = 964153a83bf6989a4ba80daa91c3e081
 
COUNT = 74
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffe0000000000000
CIPHERTEXT = a013014d4ce8054cf2591d06f6f2f176
 
COUNT = 75
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffff0000000000000
CIPHERTEXT = d1c5f6399bf382502e385eee1474a869
 
COUNT = 76
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffff8000000000000
CIPHERTEXT = 0007e20b8298ec354f0f5fe7470f36bd
 
COUNT = 77
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffc000000000000
CIPHERTEXT = b95ba05b332da61ef63a2b31fcad9879
 
COUNT = 78
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffe000000000000
CIPHERTEXT = 4620a49bd967491561669ab25dce45f4
 
COUNT = 79
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffff000000000000
CIPHERTEXT = 12e71214ae8e04f0bb63d7425c6f14d5
 
COUNT = 80
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffff800000000000
CIPHERTEXT = 4cc42fc1407b008fe350907c092e80ac
 
COUNT = 81
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffc00000000000
CIPHERTEXT = 08b244ce7cbc8ee97fbba808cb146fda
 
COUNT = 82
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffe00000000000
CIPHERTEXT = 39b333e8694f21546ad1edd9d87ed95b
 
COUNT = 83
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffff00000000000
CIPHERTEXT = 3b271f8ab2e6e4a20ba8090f43ba78f3
 
COUNT = 84
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffff80000000000
CIPHERTEXT = 9ad983f3bf651cd0393f0a73cccdea50
 
COUNT = 85
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffc0000000000
CIPHERTEXT = 8f476cbff75c1f725ce18e4bbcd19b32
 
COUNT = 86
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffe0000000000
CIPHERTEXT = 905b6267f1d6ab5320835a133f096f2a
 
COUNT = 87
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffff0000000000
CIPHERTEXT = 145b60d6d0193c23f4221848a892d61a
 
COUNT = 88
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffff8000000000
CIPHERTEXT = 55cfb3fb6d75cad0445bbc8dafa25b0f
 
COUNT = 89
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffc000000000
CIPHERTEXT = 7b8e7098e357ef71237d46d8b075b0f5
 
COUNT = 90
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffe000000000
CIPHERTEXT = 2bf27229901eb40f2df9d8398d1505ae
 
COUNT = 91
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffff000000000
CIPHERTEXT = 83a63402a77f9ad5c1e931a931ecd706
 
COUNT = 92
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffff800000000
CIPHERTEXT = 6f8ba6521152d31f2bada1843e26b973
 
COUNT = 93
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffc00000000
CIPHERTEXT = e5c3b8e30fd2d8e6239b17b44bd23bbd
 
COUNT = 94
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffe00000000
CIPHERTEXT = 1ac1f7102c59933e8b2ddc3f14e94baa
 
COUNT = 95
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffff00000000
CIPHERTEXT = 21d9ba49f276b45f11af8fc71a088e3d
 
COUNT = 96
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffff80000000
CIPHERTEXT = 649f1cddc3792b4638635a392bc9bade
 
COUNT = 97
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffc0000000
CIPHERTEXT = e2775e4b59c1bc2e31a2078c11b5a08c
 
COUNT = 98
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffe0000000
CIPHERTEXT = 2be1fae5048a25582a679ca10905eb80
 
COUNT = 99
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffff0000000
CIPHERTEXT = da86f292c6f41ea34fb2068df75ecc29
 
COUNT = 100
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffff8000000
CIPHERTEXT = 220df19f85d69b1b562fa69a3c5beca5
 
COUNT = 101
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffc000000
CIPHERTEXT = 1f11d5d0355e0b556ccdb6c7f5083b4d
 
COUNT = 102
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffe000000
CIPHERTEXT = 62526b78be79cb384633c91f83b4151b
 
COUNT = 103
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffff000000
CIPHERTEXT = 90ddbcb950843592dd47bbef00fdc876
 
COUNT = 104
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffff800000
CIPHERTEXT = 2fd0e41c5b8402277354a7391d2618e2
 
COUNT = 105
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffc00000
CIPHERTEXT = 3cdf13e72dee4c581bafec70b85f9660
 
COUNT = 106
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffe00000
CIPHERTEXT = afa2ffc137577092e2b654fa199d2c43
 
COUNT = 107
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffff00000
CIPHERTEXT = 8d683ee63e60d208e343ce48dbc44cac
 
COUNT = 108
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffff80000
CIPHERTEXT = 705a4ef8ba2133729c20185c3d3a4763
 
COUNT = 109
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffc0000
CIPHERTEXT = 0861a861c3db4e94194211b77ed761b9
 
COUNT = 110
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffe0000
CIPHERTEXT = 4b00c27e8b26da7eab9d3a88dec8b031
 
COUNT = 111
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffff0000
CIPHERTEXT = 5f397bf03084820cc8810d52e5b666e9
 
COUNT = 112
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffff8000
CIPHERTEXT = 63fafabb72c07bfbd3ddc9b1203104b8
 
COUNT = 113
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffc000
CIPHERTEXT = 683e2140585b18452dd4ffbb93c95df9
 
COUNT = 114
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffe000
CIPHERTEXT = 286894e48e537f8763b56707d7d155c8
 
COUNT = 115
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffff000
CIPHERTEXT = a423deabc173dcf7e2c4c53e77d37cd1
 
COUNT = 116
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffff800
CIPHERTEXT = eb8168313e1cfdfdb5e986d5429cf172
 
COUNT = 117
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffc00
CIPHERTEXT = 27127daafc9accd2fb334ec3eba52323
 
COUNT = 118
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffe00
CIPHERTEXT = ee0715b96f72e3f7a22a5064fc592f4c
 
COUNT = 119
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffff00
CIPHERTEXT = 29ee526770f2a11dcfa989d1ce88830f
 
COUNT = 120
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffff80
CIPHERTEXT = 0493370e054b09871130fe49af730a5a
 
COUNT = 121
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffffc0
CIPHERTEXT = 9b7b940f6c509f9e44a4ee140448ee46
 
COUNT = 122
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffffe0
CIPHERTEXT = 2915be4a1ecfdcbe3e023811a12bb6c7
 
COUNT = 123
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffff0
CIPHERTEXT = 7240e524bc51d8c4d440b1be55d1062c
 
COUNT = 124
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffff8
CIPHERTEXT = da63039d38cb4612b2dc36ba26684b93
 
COUNT = 125
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffffc
CIPHERTEXT = 0f59cb5a4b522e2ac56c1a64f558ad9a
 
COUNT = 126
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = fffffffffffffffffffffffffffffffe
CIPHERTEXT = 7bfe9d876c6d63c1d035da8fe21c409d
 
COUNT = 127
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = ffffffffffffffffffffffffffffffff
CIPHERTEXT = acdace8078a32b1a182bfa4987ca1347
/trunk/verilog/sim/KAT_AES/ECBGFSbox256e.txt
0,0 → 1,26
[ENCRYPT]
 
COUNT = 0
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 014730f80ac625fe84f026c60bfd547d
CIPHERTEXT = 5c9d844ed46f9885085e5d6a4f94c7d7
 
COUNT = 1
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 0b24af36193ce4665f2825d7b4749c98
CIPHERTEXT = a9ff75bd7cf6613d3731c77c3b6d0c04
 
COUNT = 2
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 761c1fe41a18acf20d241650611d90f1
CIPHERTEXT = 623a52fcea5d443e48d9181ab32c7421
 
COUNT = 3
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 8a560769d605868ad80d819bdba03771
CIPHERTEXT = 38f2c7ae10612415d27ca190d27da8b4
 
COUNT = 4
KEY = 0000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 91fbef2d15a97816060bee1feaa49afe
CIPHERTEXT = 1bc704f1bce135ceb810341b216d7abe
/trunk/verilog/sim/KAT_AES/ECBVarKey128d.txt
0,0 → 1,641
[DECRYPT]
 
COUNT = 0
KEY = 80000000000000000000000000000000
CIPHERTEXT = 0edd33d3c621e546455bd8ba1418bec8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 1
KEY = c0000000000000000000000000000000
CIPHERTEXT = 4bc3f883450c113c64ca42e1112a9e87
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 2
KEY = e0000000000000000000000000000000
CIPHERTEXT = 72a1da770f5d7ac4c9ef94d822affd97
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 3
KEY = f0000000000000000000000000000000
CIPHERTEXT = 970014d634e2b7650777e8e84d03ccd8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 4
KEY = f8000000000000000000000000000000
CIPHERTEXT = f17e79aed0db7e279e955b5f493875a7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 5
KEY = fc000000000000000000000000000000
CIPHERTEXT = 9ed5a75136a940d0963da379db4af26a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 6
KEY = fe000000000000000000000000000000
CIPHERTEXT = c4295f83465c7755e8fa364bac6a7ea5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 7
KEY = ff000000000000000000000000000000
CIPHERTEXT = b1d758256b28fd850ad4944208cf1155
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 8
KEY = ff800000000000000000000000000000
CIPHERTEXT = 42ffb34c743de4d88ca38011c990890b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 9
KEY = ffc00000000000000000000000000000
CIPHERTEXT = 9958f0ecea8b2172c0c1995f9182c0f3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 10
KEY = ffe00000000000000000000000000000
CIPHERTEXT = 956d7798fac20f82a8823f984d06f7f5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 11
KEY = fff00000000000000000000000000000
CIPHERTEXT = a01bf44f2d16be928ca44aaf7b9b106b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 12
KEY = fff80000000000000000000000000000
CIPHERTEXT = b5f1a33e50d40d103764c76bd4c6b6f8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 13
KEY = fffc0000000000000000000000000000
CIPHERTEXT = 2637050c9fc0d4817e2d69de878aee8d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 14
KEY = fffe0000000000000000000000000000
CIPHERTEXT = 113ecbe4a453269a0dd26069467fb5b5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 15
KEY = ffff0000000000000000000000000000
CIPHERTEXT = 97d0754fe68f11b9e375d070a608c884
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 16
KEY = ffff8000000000000000000000000000
CIPHERTEXT = c6a0b3e998d05068a5399778405200b4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 17
KEY = ffffc000000000000000000000000000
CIPHERTEXT = df556a33438db87bc41b1752c55e5e49
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 18
KEY = ffffe000000000000000000000000000
CIPHERTEXT = 90fb128d3a1af6e548521bb962bf1f05
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 19
KEY = fffff000000000000000000000000000
CIPHERTEXT = 26298e9c1db517c215fadfb7d2a8d691
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 20
KEY = fffff800000000000000000000000000
CIPHERTEXT = a6cb761d61f8292d0df393a279ad0380
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 21
KEY = fffffc00000000000000000000000000
CIPHERTEXT = 12acd89b13cd5f8726e34d44fd486108
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 22
KEY = fffffe00000000000000000000000000
CIPHERTEXT = 95b1703fc57ba09fe0c3580febdd7ed4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 23
KEY = ffffff00000000000000000000000000
CIPHERTEXT = de11722d893e9f9121c381becc1da59a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 24
KEY = ffffff80000000000000000000000000
CIPHERTEXT = 6d114ccb27bf391012e8974c546d9bf2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 25
KEY = ffffffc0000000000000000000000000
CIPHERTEXT = 5ce37e17eb4646ecfac29b9cc38d9340
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 26
KEY = ffffffe0000000000000000000000000
CIPHERTEXT = 18c1b6e2157122056d0243d8a165cddb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 27
KEY = fffffff0000000000000000000000000
CIPHERTEXT = 99693e6a59d1366c74d823562d7e1431
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 28
KEY = fffffff8000000000000000000000000
CIPHERTEXT = 6c7c64dc84a8bba758ed17eb025a57e3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 29
KEY = fffffffc000000000000000000000000
CIPHERTEXT = e17bc79f30eaab2fac2cbbe3458d687a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 30
KEY = fffffffe000000000000000000000000
CIPHERTEXT = 1114bc2028009b923f0b01915ce5e7c4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 31
KEY = ffffffff000000000000000000000000
CIPHERTEXT = 9c28524a16a1e1c1452971caa8d13476
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 32
KEY = ffffffff800000000000000000000000
CIPHERTEXT = ed62e16363638360fdd6ad62112794f0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 33
KEY = ffffffffc00000000000000000000000
CIPHERTEXT = 5a8688f0b2a2c16224c161658ffd4044
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 34
KEY = ffffffffe00000000000000000000000
CIPHERTEXT = 23f710842b9bb9c32f26648c786807ca
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 35
KEY = fffffffff00000000000000000000000
CIPHERTEXT = 44a98bf11e163f632c47ec6a49683a89
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 36
KEY = fffffffff80000000000000000000000
CIPHERTEXT = 0f18aff94274696d9b61848bd50ac5e5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 37
KEY = fffffffffc0000000000000000000000
CIPHERTEXT = 82408571c3e2424540207f833b6dda69
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 38
KEY = fffffffffe0000000000000000000000
CIPHERTEXT = 303ff996947f0c7d1f43c8f3027b9b75
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 39
KEY = ffffffffff0000000000000000000000
CIPHERTEXT = 7df4daf4ad29a3615a9b6ece5c99518a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 40
KEY = ffffffffff8000000000000000000000
CIPHERTEXT = c72954a48d0774db0b4971c526260415
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 41
KEY = ffffffffffc000000000000000000000
CIPHERTEXT = 1df9b76112dc6531e07d2cfda04411f0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 42
KEY = ffffffffffe000000000000000000000
CIPHERTEXT = 8e4d8e699119e1fc87545a647fb1d34f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 43
KEY = fffffffffff000000000000000000000
CIPHERTEXT = e6c4807ae11f36f091c57d9fb68548d1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 44
KEY = fffffffffff800000000000000000000
CIPHERTEXT = 8ebf73aad49c82007f77a5c1ccec6ab4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 45
KEY = fffffffffffc00000000000000000000
CIPHERTEXT = 4fb288cc2040049001d2c7585ad123fc
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 46
KEY = fffffffffffe00000000000000000000
CIPHERTEXT = 04497110efb9dceb13e2b13fb4465564
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 47
KEY = ffffffffffff00000000000000000000
CIPHERTEXT = 75550e6cb5a88e49634c9ab69eda0430
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 48
KEY = ffffffffffff80000000000000000000
CIPHERTEXT = b6768473ce9843ea66a81405dd50b345
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 49
KEY = ffffffffffffc0000000000000000000
CIPHERTEXT = cb2f430383f9084e03a653571e065de6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 50
KEY = ffffffffffffe0000000000000000000
CIPHERTEXT = ff4e66c07bae3e79fb7d210847a3b0ba
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 51
KEY = fffffffffffff0000000000000000000
CIPHERTEXT = 7b90785125505fad59b13c186dd66ce3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 52
KEY = fffffffffffff8000000000000000000
CIPHERTEXT = 8b527a6aebdaec9eaef8eda2cb7783e5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 53
KEY = fffffffffffffc000000000000000000
CIPHERTEXT = 43fdaf53ebbc9880c228617d6a9b548b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 54
KEY = fffffffffffffe000000000000000000
CIPHERTEXT = 53786104b9744b98f052c46f1c850d0b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 55
KEY = ffffffffffffff000000000000000000
CIPHERTEXT = b5ab3013dd1e61df06cbaf34ca2aee78
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 56
KEY = ffffffffffffff800000000000000000
CIPHERTEXT = 7470469be9723030fdcc73a8cd4fbb10
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 57
KEY = ffffffffffffffc00000000000000000
CIPHERTEXT = a35a63f5343ebe9ef8167bcb48ad122e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 58
KEY = ffffffffffffffe00000000000000000
CIPHERTEXT = fd8687f0757a210e9fdf181204c30863
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 59
KEY = fffffffffffffff00000000000000000
CIPHERTEXT = 7a181e84bd5457d26a88fbae96018fb0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 60
KEY = fffffffffffffff80000000000000000
CIPHERTEXT = 653317b9362b6f9b9e1a580e68d494b5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 61
KEY = fffffffffffffffc0000000000000000
CIPHERTEXT = 995c9dc0b689f03c45867b5faa5c18d1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 62
KEY = fffffffffffffffe0000000000000000
CIPHERTEXT = 77a4d96d56dda398b9aabecfc75729fd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 63
KEY = ffffffffffffffff0000000000000000
CIPHERTEXT = 84be19e053635f09f2665e7bae85b42d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 64
KEY = ffffffffffffffff8000000000000000
CIPHERTEXT = 32cd652842926aea4aa6137bb2be2b5e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 65
KEY = ffffffffffffffffc000000000000000
CIPHERTEXT = 493d4a4f38ebb337d10aa84e9171a554
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 66
KEY = ffffffffffffffffe000000000000000
CIPHERTEXT = d9bff7ff454b0ec5a4a2a69566e2cb84
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 67
KEY = fffffffffffffffff000000000000000
CIPHERTEXT = 3535d565ace3f31eb249ba2cc6765d7a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 68
KEY = fffffffffffffffff800000000000000
CIPHERTEXT = f60e91fc3269eecf3231c6e9945697c6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 69
KEY = fffffffffffffffffc00000000000000
CIPHERTEXT = ab69cfadf51f8e604d9cc37182f6635a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 70
KEY = fffffffffffffffffe00000000000000
CIPHERTEXT = 7866373f24a0b6ed56e0d96fcdafb877
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 71
KEY = ffffffffffffffffff00000000000000
CIPHERTEXT = 1ea448c2aac954f5d812e9d78494446a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 72
KEY = ffffffffffffffffff80000000000000
CIPHERTEXT = acc5599dd8ac02239a0fef4a36dd1668
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 73
KEY = ffffffffffffffffffc0000000000000
CIPHERTEXT = d8764468bb103828cf7e1473ce895073
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 74
KEY = ffffffffffffffffffe0000000000000
CIPHERTEXT = 1b0d02893683b9f180458e4aa6b73982
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 75
KEY = fffffffffffffffffff0000000000000
CIPHERTEXT = 96d9b017d302df410a937dcdb8bb6e43
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 76
KEY = fffffffffffffffffff8000000000000
CIPHERTEXT = ef1623cc44313cff440b1594a7e21cc6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 77
KEY = fffffffffffffffffffc000000000000
CIPHERTEXT = 284ca2fa35807b8b0ae4d19e11d7dbd7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 78
KEY = fffffffffffffffffffe000000000000
CIPHERTEXT = f2e976875755f9401d54f36e2a23a594
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 79
KEY = ffffffffffffffffffff000000000000
CIPHERTEXT = ec198a18e10e532403b7e20887c8dd80
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 80
KEY = ffffffffffffffffffff800000000000
CIPHERTEXT = 545d50ebd919e4a6949d96ad47e46a80
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 81
KEY = ffffffffffffffffffffc00000000000
CIPHERTEXT = dbdfb527060e0a71009c7bb0c68f1d44
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 82
KEY = ffffffffffffffffffffe00000000000
CIPHERTEXT = 9cfa1322ea33da2173a024f2ff0d896d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 83
KEY = fffffffffffffffffffff00000000000
CIPHERTEXT = 8785b1a75b0f3bd958dcd0e29318c521
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 84
KEY = fffffffffffffffffffff80000000000
CIPHERTEXT = 38f67b9e98e4a97b6df030a9fcdd0104
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 85
KEY = fffffffffffffffffffffc0000000000
CIPHERTEXT = 192afffb2c880e82b05926d0fc6c448b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 86
KEY = fffffffffffffffffffffe0000000000
CIPHERTEXT = 6a7980ce7b105cf530952d74daaf798c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 87
KEY = ffffffffffffffffffffff0000000000
CIPHERTEXT = ea3695e1351b9d6858bd958cf513ef6c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 88
KEY = ffffffffffffffffffffff8000000000
CIPHERTEXT = 6da0490ba0ba0343b935681d2cce5ba1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 89
KEY = ffffffffffffffffffffffc000000000
CIPHERTEXT = f0ea23af08534011c60009ab29ada2f1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 90
KEY = ffffffffffffffffffffffe000000000
CIPHERTEXT = ff13806cf19cc38721554d7c0fcdcd4b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 91
KEY = fffffffffffffffffffffff000000000
CIPHERTEXT = 6838af1f4f69bae9d85dd188dcdf0688
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 92
KEY = fffffffffffffffffffffff800000000
CIPHERTEXT = 36cf44c92d550bfb1ed28ef583ddf5d7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 93
KEY = fffffffffffffffffffffffc00000000
CIPHERTEXT = d06e3195b5376f109d5c4ec6c5d62ced
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 94
KEY = fffffffffffffffffffffffe00000000
CIPHERTEXT = c440de014d3d610707279b13242a5c36
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 95
KEY = ffffffffffffffffffffffff00000000
CIPHERTEXT = f0c5c6ffa5e0bd3a94c88f6b6f7c16b9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 96
KEY = ffffffffffffffffffffffff80000000
CIPHERTEXT = 3e40c3901cd7effc22bffc35dee0b4d9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 97
KEY = ffffffffffffffffffffffffc0000000
CIPHERTEXT = b63305c72bedfab97382c406d0c49bc6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 98
KEY = ffffffffffffffffffffffffe0000000
CIPHERTEXT = 36bbaab22a6bd4925a99a2b408d2dbae
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 99
KEY = fffffffffffffffffffffffff0000000
CIPHERTEXT = 307c5b8fcd0533ab98bc51e27a6ce461
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 100
KEY = fffffffffffffffffffffffff8000000
CIPHERTEXT = 829c04ff4c07513c0b3ef05c03e337b5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 101
KEY = fffffffffffffffffffffffffc000000
CIPHERTEXT = f17af0e895dda5eb98efc68066e84c54
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 102
KEY = fffffffffffffffffffffffffe000000
CIPHERTEXT = 277167f3812afff1ffacb4a934379fc3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 103
KEY = ffffffffffffffffffffffffff000000
CIPHERTEXT = 2cb1dc3a9c72972e425ae2ef3eb597cd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 104
KEY = ffffffffffffffffffffffffff800000
CIPHERTEXT = 36aeaa3a213e968d4b5b679d3a2c97fe
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 105
KEY = ffffffffffffffffffffffffffc00000
CIPHERTEXT = 9241daca4fdd034a82372db50e1a0f3f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 106
KEY = ffffffffffffffffffffffffffe00000
CIPHERTEXT = c14574d9cd00cf2b5a7f77e53cd57885
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 107
KEY = fffffffffffffffffffffffffff00000
CIPHERTEXT = 793de39236570aba83ab9b737cb521c9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 108
KEY = fffffffffffffffffffffffffff80000
CIPHERTEXT = 16591c0f27d60e29b85a96c33861a7ef
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 109
KEY = fffffffffffffffffffffffffffc0000
CIPHERTEXT = 44fb5c4d4f5cb79be5c174a3b1c97348
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 110
KEY = fffffffffffffffffffffffffffe0000
CIPHERTEXT = 674d2b61633d162be59dde04222f4740
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 111
KEY = ffffffffffffffffffffffffffff0000
CIPHERTEXT = b4750ff263a65e1f9e924ccfd98f3e37
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 112
KEY = ffffffffffffffffffffffffffff8000
CIPHERTEXT = 62d0662d6eaeddedebae7f7ea3a4f6b6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 113
KEY = ffffffffffffffffffffffffffffc000
CIPHERTEXT = 70c46bb30692be657f7eaa93ebad9897
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 114
KEY = ffffffffffffffffffffffffffffe000
CIPHERTEXT = 323994cfb9da285a5d9642e1759b224a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 115
KEY = fffffffffffffffffffffffffffff000
CIPHERTEXT = 1dbf57877b7b17385c85d0b54851e371
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 116
KEY = fffffffffffffffffffffffffffff800
CIPHERTEXT = dfa5c097cdc1532ac071d57b1d28d1bd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 117
KEY = fffffffffffffffffffffffffffffc00
CIPHERTEXT = 3a0c53fa37311fc10bd2a9981f513174
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 118
KEY = fffffffffffffffffffffffffffffe00
CIPHERTEXT = ba4f970c0a25c41814bdae2e506be3b4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 119
KEY = ffffffffffffffffffffffffffffff00
CIPHERTEXT = 2dce3acb727cd13ccd76d425ea56e4f6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 120
KEY = ffffffffffffffffffffffffffffff80
CIPHERTEXT = 5160474d504b9b3eefb68d35f245f4b3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 121
KEY = ffffffffffffffffffffffffffffffc0
CIPHERTEXT = 41a8a947766635dec37553d9a6c0cbb7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 122
KEY = ffffffffffffffffffffffffffffffe0
CIPHERTEXT = 25d6cfe6881f2bf497dd14cd4ddf445b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 123
KEY = fffffffffffffffffffffffffffffff0
CIPHERTEXT = 41c78c135ed9e98c096640647265da1e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 124
KEY = fffffffffffffffffffffffffffffff8
CIPHERTEXT = 5a4d404d8917e353e92a21072c3b2305
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 125
KEY = fffffffffffffffffffffffffffffffc
CIPHERTEXT = 02bc96846b3fdc71643f384cd3cc3eaf
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 126
KEY = fffffffffffffffffffffffffffffffe
CIPHERTEXT = 9ba4a9143f4e5d4048521c4f8877d88e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 127
KEY = ffffffffffffffffffffffffffffffff
CIPHERTEXT = a1f6258c877d5fcd8964484538bfc92c
PLAINTEXT = 00000000000000000000000000000000
/trunk/verilog/sim/KAT_AES/ECBVarKey192d.txt
0,0 → 1,961
[DECRYPT]
 
COUNT = 0
KEY = 800000000000000000000000000000000000000000000000
CIPHERTEXT = de885dc87f5a92594082d02cc1e1b42c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 1
KEY = c00000000000000000000000000000000000000000000000
CIPHERTEXT = 132b074e80f2a597bf5febd8ea5da55e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 2
KEY = e00000000000000000000000000000000000000000000000
CIPHERTEXT = 6eccedf8de592c22fb81347b79f2db1f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 3
KEY = f00000000000000000000000000000000000000000000000
CIPHERTEXT = 180b09f267c45145db2f826c2582d35c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 4
KEY = f80000000000000000000000000000000000000000000000
CIPHERTEXT = edd807ef7652d7eb0e13c8b5e15b3bc0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 5
KEY = fc0000000000000000000000000000000000000000000000
CIPHERTEXT = 9978bcf8dd8fd72241223ad24b31b8a4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 6
KEY = fe0000000000000000000000000000000000000000000000
CIPHERTEXT = 5310f654343e8f27e12c83a48d24ff81
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 7
KEY = ff0000000000000000000000000000000000000000000000
CIPHERTEXT = 833f71258d53036b02952c76c744f5a1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 8
KEY = ff8000000000000000000000000000000000000000000000
CIPHERTEXT = eba83ff200cff9318a92f8691a06b09f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 9
KEY = ffc000000000000000000000000000000000000000000000
CIPHERTEXT = ff620ccbe9f3292abdf2176b09f04eba
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 10
KEY = ffe000000000000000000000000000000000000000000000
CIPHERTEXT = 7ababc4b3f516c9aafb35f4140b548f9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 11
KEY = fff000000000000000000000000000000000000000000000
CIPHERTEXT = aa187824d9c4582b0916493ecbde8c57
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 12
KEY = fff800000000000000000000000000000000000000000000
CIPHERTEXT = 1c0ad553177fd5ea1092c9d626a29dc4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 13
KEY = fffc00000000000000000000000000000000000000000000
CIPHERTEXT = a5dc46c37261194124ecaebd680408ec
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 14
KEY = fffe00000000000000000000000000000000000000000000
CIPHERTEXT = e4f2f2ae23e9b10bacfa58601531ba54
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 15
KEY = ffff00000000000000000000000000000000000000000000
CIPHERTEXT = b7d67cf1a1e91e8ff3a57a172c7bf412
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 16
KEY = ffff80000000000000000000000000000000000000000000
CIPHERTEXT = 26706be06967884e847d137128ce47b3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 17
KEY = ffffc0000000000000000000000000000000000000000000
CIPHERTEXT = b2f8b409b0585909aad3a7b5a219072a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 18
KEY = ffffe0000000000000000000000000000000000000000000
CIPHERTEXT = 5e4b7bff0290c78344c54a23b722cd20
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 19
KEY = fffff0000000000000000000000000000000000000000000
CIPHERTEXT = 07093657552d4414227ce161e9ebf7dd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 20
KEY = fffff8000000000000000000000000000000000000000000
CIPHERTEXT = e1af1e7d8bc225ed4dffb771ecbb9e67
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 21
KEY = fffffc000000000000000000000000000000000000000000
CIPHERTEXT = ef6555253635d8432156cfd9c11b145a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 22
KEY = fffffe000000000000000000000000000000000000000000
CIPHERTEXT = fb4035074a5d4260c90cbd6da6c3fceb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 23
KEY = ffffff000000000000000000000000000000000000000000
CIPHERTEXT = 446ee416f9ad1c103eb0cc96751c88e1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 24
KEY = ffffff800000000000000000000000000000000000000000
CIPHERTEXT = 198ae2a4637ac0a7890a8fd1485445c9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 25
KEY = ffffffc00000000000000000000000000000000000000000
CIPHERTEXT = 562012ec8faded0825fb2fa70ab30cbd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 26
KEY = ffffffe00000000000000000000000000000000000000000
CIPHERTEXT = cc8a64b46b5d88bf7f247d4dbaf38f05
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 27
KEY = fffffff00000000000000000000000000000000000000000
CIPHERTEXT = a168253762e2cc81b42d1e5001762699
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 28
KEY = fffffff80000000000000000000000000000000000000000
CIPHERTEXT = 1b41f83b38ce5032c6cd7af98cf62061
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 29
KEY = fffffffc0000000000000000000000000000000000000000
CIPHERTEXT = 61a89990cd1411750d5fb0dc988447d4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 30
KEY = fffffffe0000000000000000000000000000000000000000
CIPHERTEXT = b5accc8ed629edf8c68a539183b1ea82
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 31
KEY = ffffffff0000000000000000000000000000000000000000
CIPHERTEXT = b16fa71f846b81a13f361c43a851f290
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 32
KEY = ffffffff8000000000000000000000000000000000000000
CIPHERTEXT = 4fad6efdff5975aee7692234bcd54488
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 33
KEY = ffffffffc000000000000000000000000000000000000000
CIPHERTEXT = ebfdb05a783d03082dfe5fdd80a00b17
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 34
KEY = ffffffffe000000000000000000000000000000000000000
CIPHERTEXT = eb81b584766997af6ba5529d3bdd8609
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 35
KEY = fffffffff000000000000000000000000000000000000000
CIPHERTEXT = 0cf4ff4f49c8a0ca060c443499e29313
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 36
KEY = fffffffff800000000000000000000000000000000000000
CIPHERTEXT = cc4ba8a8e029f8b26d8afff9df133bb6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 37
KEY = fffffffffc00000000000000000000000000000000000000
CIPHERTEXT = fefebf64360f38e4e63558f0ffc550c3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 38
KEY = fffffffffe00000000000000000000000000000000000000
CIPHERTEXT = 12ad98cbf725137d6a8108c2bed99322
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 39
KEY = ffffffffff00000000000000000000000000000000000000
CIPHERTEXT = 6afaa996226198b3e2610413ce1b3f78
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 40
KEY = ffffffffff80000000000000000000000000000000000000
CIPHERTEXT = 2a8ce6747a7e39367828e290848502d9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 41
KEY = ffffffffffc0000000000000000000000000000000000000
CIPHERTEXT = 223736e8b8f89ca1e37b6deab40facf1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 42
KEY = ffffffffffe0000000000000000000000000000000000000
CIPHERTEXT = c0f797e50418b95fa6013333917a9480
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 43
KEY = fffffffffff0000000000000000000000000000000000000
CIPHERTEXT = a758de37c2ece2a02c73c01fedc9a132
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 44
KEY = fffffffffff8000000000000000000000000000000000000
CIPHERTEXT = 3a9b87ae77bae706803966c66c73adbd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 45
KEY = fffffffffffc000000000000000000000000000000000000
CIPHERTEXT = d365ab8df8ffd782e358121a4a4fc541
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 46
KEY = fffffffffffe000000000000000000000000000000000000
CIPHERTEXT = c8dcd9e6f75e6c36c8daee0466f0ed74
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 47
KEY = ffffffffffff000000000000000000000000000000000000
CIPHERTEXT = c79a637beb1c0304f14014c037e736dd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 48
KEY = ffffffffffff800000000000000000000000000000000000
CIPHERTEXT = 105f0a25e84ac930d996281a5f954dd9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 49
KEY = ffffffffffffc00000000000000000000000000000000000
CIPHERTEXT = 42e4074b2927973e8d17ffa92f7fe615
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 50
KEY = ffffffffffffe00000000000000000000000000000000000
CIPHERTEXT = 4fe2a9d2c1824449c69e3e0398f12963
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 51
KEY = fffffffffffff00000000000000000000000000000000000
CIPHERTEXT = b7f29c1e1f62847a15253b28a1e9d712
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 52
KEY = fffffffffffff80000000000000000000000000000000000
CIPHERTEXT = 36ed5d29b903f31e8983ef8b0a2bf990
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 53
KEY = fffffffffffffc0000000000000000000000000000000000
CIPHERTEXT = 27b8070270810f9d023f9dd7ff3b4aa2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 54
KEY = fffffffffffffe0000000000000000000000000000000000
CIPHERTEXT = 94d46e155c1228f61d1a0db4815ecc4b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 55
KEY = ffffffffffffff0000000000000000000000000000000000
CIPHERTEXT = ca6108d1d98071428eeceef1714b96dd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 56
KEY = ffffffffffffff8000000000000000000000000000000000
CIPHERTEXT = dc5b25b71b6296cf73dd2cdcac2f70b1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 57
KEY = ffffffffffffffc000000000000000000000000000000000
CIPHERTEXT = 44aba95e8a06a2d9d3530d2677878c80
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 58
KEY = ffffffffffffffe000000000000000000000000000000000
CIPHERTEXT = a570d20e89b467e8f5176061b81dd396
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 59
KEY = fffffffffffffff000000000000000000000000000000000
CIPHERTEXT = 758f4467a5d8f1e7307dc30b34e404f4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 60
KEY = fffffffffffffff800000000000000000000000000000000
CIPHERTEXT = bcea28e9071b5a2302970ff352451bc5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 61
KEY = fffffffffffffffc00000000000000000000000000000000
CIPHERTEXT = 7523c00bc177d331ad312e09c9015c1c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 62
KEY = fffffffffffffffe00000000000000000000000000000000
CIPHERTEXT = ccac61e3183747b3f5836da21a1bc4f4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 63
KEY = ffffffffffffffff00000000000000000000000000000000
CIPHERTEXT = 707b075791878880b44189d3522b8c30
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 64
KEY = ffffffffffffffff80000000000000000000000000000000
CIPHERTEXT = 7132d0c0e4a07593cf12ebb12be7688c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 65
KEY = ffffffffffffffffc0000000000000000000000000000000
CIPHERTEXT = effbac1644deb0c784275fe56e19ead3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 66
KEY = ffffffffffffffffe0000000000000000000000000000000
CIPHERTEXT = a005063f30f4228b374e2459738f26bb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 67
KEY = fffffffffffffffff0000000000000000000000000000000
CIPHERTEXT = 29975b5f48bb68fcbbc7cea93b452ed7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 68
KEY = fffffffffffffffff8000000000000000000000000000000
CIPHERTEXT = cf3f2576e2afedc74bb1ca7eeec1c0e7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 69
KEY = fffffffffffffffffc000000000000000000000000000000
CIPHERTEXT = 07c403f5f966e0e3d9f296d6226dca28
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 70
KEY = fffffffffffffffffe000000000000000000000000000000
CIPHERTEXT = c8c20908249ab4a34d6dd0a31327ff1a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 71
KEY = ffffffffffffffffff000000000000000000000000000000
CIPHERTEXT = c0541329ecb6159ab23b7fc5e6a21bca
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 72
KEY = ffffffffffffffffff800000000000000000000000000000
CIPHERTEXT = 7aa1acf1a2ed9ba72bc6deb31d88b863
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 73
KEY = ffffffffffffffffffc00000000000000000000000000000
CIPHERTEXT = 808bd8eddabb6f3bf0d5a8a27be1fe8a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 74
KEY = ffffffffffffffffffe00000000000000000000000000000
CIPHERTEXT = 273c7d7685e14ec66bbb96b8f05b6ddd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 75
KEY = fffffffffffffffffff00000000000000000000000000000
CIPHERTEXT = 32752eefc8c2a93f91b6e73eb07cca6e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 76
KEY = fffffffffffffffffff80000000000000000000000000000
CIPHERTEXT = d893e7d62f6ce502c64f75e281f9c000
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 77
KEY = fffffffffffffffffffc0000000000000000000000000000
CIPHERTEXT = 8dfd999be5d0cfa35732c0ddc88ff5a5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 78
KEY = fffffffffffffffffffe0000000000000000000000000000
CIPHERTEXT = 02647c76a300c3173b841487eb2bae9f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 79
KEY = ffffffffffffffffffff0000000000000000000000000000
CIPHERTEXT = 172df8b02f04b53adab028b4e01acd87
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 80
KEY = ffffffffffffffffffff8000000000000000000000000000
CIPHERTEXT = 054b3bf4998aeb05afd87ec536533a36
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 81
KEY = ffffffffffffffffffffc000000000000000000000000000
CIPHERTEXT = 3783f7bf44c97f065258a666cae03020
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 82
KEY = ffffffffffffffffffffe000000000000000000000000000
CIPHERTEXT = aad4c8a63f80954104de7b92cede1be1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 83
KEY = fffffffffffffffffffff000000000000000000000000000
CIPHERTEXT = cbfe61810fd5467ccdacb75800f3ac07
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 84
KEY = fffffffffffffffffffff800000000000000000000000000
CIPHERTEXT = 830d8a2590f7d8e1b55a737f4af45f34
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 85
KEY = fffffffffffffffffffffc00000000000000000000000000
CIPHERTEXT = fffcd4683f858058e74314671d43fa2c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 86
KEY = fffffffffffffffffffffe00000000000000000000000000
CIPHERTEXT = 523d0babbb82f46ebc9e70b1cd41ddd0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 87
KEY = ffffffffffffffffffffff00000000000000000000000000
CIPHERTEXT = 344aab37080d7486f7d542a309e53eed
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 88
KEY = ffffffffffffffffffffff80000000000000000000000000
CIPHERTEXT = 56c5609d0906b23ab9caca816f5dbebd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 89
KEY = ffffffffffffffffffffffc0000000000000000000000000
CIPHERTEXT = 7026026eedd91adc6d831cdf9894bdc6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 90
KEY = ffffffffffffffffffffffe0000000000000000000000000
CIPHERTEXT = 88330baa4f2b618fc9d9b021bf503d5a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 91
KEY = fffffffffffffffffffffff0000000000000000000000000
CIPHERTEXT = fc9e0ea22480b0bac935c8a8ebefcdcf
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 92
KEY = fffffffffffffffffffffff8000000000000000000000000
CIPHERTEXT = 29ca779f398fb04f867da7e8a44756cb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 93
KEY = fffffffffffffffffffffffc000000000000000000000000
CIPHERTEXT = 51f89c42985786bfc43c6df8ada36832
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 94
KEY = fffffffffffffffffffffffe000000000000000000000000
CIPHERTEXT = 6ac1de5fb8f21d874e91c53b560c50e3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 95
KEY = ffffffffffffffffffffffff000000000000000000000000
CIPHERTEXT = 03aa9058490eda306001a8a9f48d0ca7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 96
KEY = ffffffffffffffffffffffff800000000000000000000000
CIPHERTEXT = e34ec71d6128d4871865d617c30b37e3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 97
KEY = ffffffffffffffffffffffffc00000000000000000000000
CIPHERTEXT = 14be1c535b17cabd0c4d93529d69bf47
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 98
KEY = ffffffffffffffffffffffffe00000000000000000000000
CIPHERTEXT = c9ef67756507beec9dd3862883478044
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 99
KEY = fffffffffffffffffffffffff00000000000000000000000
CIPHERTEXT = 40e231fa5a5948ce2134e92fc0664d4b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 100
KEY = fffffffffffffffffffffffff80000000000000000000000
CIPHERTEXT = 03194b8e5dda5530d0c678c0b48f5d92
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 101
KEY = fffffffffffffffffffffffffc0000000000000000000000
CIPHERTEXT = 90bd086f237cc4fd99f4d76bde6b4826
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 102
KEY = fffffffffffffffffffffffffe0000000000000000000000
CIPHERTEXT = 19259761ca17130d6ed86d57cd7951ee
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 103
KEY = ffffffffffffffffffffffffff0000000000000000000000
CIPHERTEXT = d7cbb3f34b9b450f24b0e8518e54da6d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 104
KEY = ffffffffffffffffffffffffff8000000000000000000000
CIPHERTEXT = 725b9caebe9f7f417f4068d0d2ee20b3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 105
KEY = ffffffffffffffffffffffffffc000000000000000000000
CIPHERTEXT = 9d924b934a90ce1fd39b8a9794f82672
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 106
KEY = ffffffffffffffffffffffffffe000000000000000000000
CIPHERTEXT = c50562bf094526a91c5bc63c0c224995
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 107
KEY = fffffffffffffffffffffffffff000000000000000000000
CIPHERTEXT = d2f11805046743bd74f57188d9188df7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 108
KEY = fffffffffffffffffffffffffff800000000000000000000
CIPHERTEXT = 8dd274bd0f1b58ae345d9e7233f9b8f3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 109
KEY = fffffffffffffffffffffffffffc00000000000000000000
CIPHERTEXT = 9d6bdc8f4ce5feb0f3bed2e4b9a9bb0b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 110
KEY = fffffffffffffffffffffffffffe00000000000000000000
CIPHERTEXT = fd5548bcf3f42565f7efa94562528d46
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 111
KEY = ffffffffffffffffffffffffffff00000000000000000000
CIPHERTEXT = d2ccaebd3a4c3e80b063748131ba4a71
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 112
KEY = ffffffffffffffffffffffffffff80000000000000000000
CIPHERTEXT = e03cb23d9e11c9d93f117e9c0a91b576
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 113
KEY = ffffffffffffffffffffffffffffc0000000000000000000
CIPHERTEXT = 78f933a2081ac1db84f69d10f4523fe0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 114
KEY = ffffffffffffffffffffffffffffe0000000000000000000
CIPHERTEXT = 4061f7412ed320de0edc8851c2e2436f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 115
KEY = fffffffffffffffffffffffffffff0000000000000000000
CIPHERTEXT = 9064ba1cd04ce6bab98474330814b4d4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 116
KEY = fffffffffffffffffffffffffffff8000000000000000000
CIPHERTEXT = 48391bffb9cfff80ac238c886ef0a461
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 117
KEY = fffffffffffffffffffffffffffffc000000000000000000
CIPHERTEXT = b8d2a67df5a999fdbf93edd0343296c9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 118
KEY = fffffffffffffffffffffffffffffe000000000000000000
CIPHERTEXT = aaca7367396b69a221bd632bea386eec
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 119
KEY = ffffffffffffffffffffffffffffff000000000000000000
CIPHERTEXT = a80fd5020dfe65f5f16293ec92c6fd89
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 120
KEY = ffffffffffffffffffffffffffffff800000000000000000
CIPHERTEXT = 2162995b8217a67f1abc342e146406f8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 121
KEY = ffffffffffffffffffffffffffffffc00000000000000000
CIPHERTEXT = c6a6164b7a60bae4e986ffac28dfadd9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 122
KEY = ffffffffffffffffffffffffffffffe00000000000000000
CIPHERTEXT = 64e0d7f900e3d9c83e4b8f96717b2146
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 123
KEY = fffffffffffffffffffffffffffffff00000000000000000
CIPHERTEXT = 1ad2561de8c1232f5d8dbab4739b6cbb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 124
KEY = fffffffffffffffffffffffffffffff80000000000000000
CIPHERTEXT = 279689e9a557f58b1c3bf40c97a90964
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 125
KEY = fffffffffffffffffffffffffffffffc0000000000000000
CIPHERTEXT = c4637e4a5e6377f9cc5a8638045de029
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 126
KEY = fffffffffffffffffffffffffffffffe0000000000000000
CIPHERTEXT = 492e607e5aea4688594b45f3aee3df90
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 127
KEY = ffffffffffffffffffffffffffffffff0000000000000000
CIPHERTEXT = e8c4e4381feec74054954c05b777a00a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 128
KEY = ffffffffffffffffffffffffffffffff8000000000000000
CIPHERTEXT = 91549514605f38246c9b724ad839f01d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 129
KEY = ffffffffffffffffffffffffffffffffc000000000000000
CIPHERTEXT = 74b24e3b6fefe40a4f9ef7ac6e44d76a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 130
KEY = ffffffffffffffffffffffffffffffffe000000000000000
CIPHERTEXT = 2437a683dc5d4b52abb4a123a8df86c6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 131
KEY = fffffffffffffffffffffffffffffffff000000000000000
CIPHERTEXT = bb2852c891c5947d2ed44032c421b85f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 132
KEY = fffffffffffffffffffffffffffffffff800000000000000
CIPHERTEXT = 1b9f5fbd5e8a4264c0a85b80409afa5e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 133
KEY = fffffffffffffffffffffffffffffffffc00000000000000
CIPHERTEXT = 30dab809f85a917fe924733f424ac589
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 134
KEY = fffffffffffffffffffffffffffffffffe00000000000000
CIPHERTEXT = eaef5c1f8d605192646695ceadc65f32
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 135
KEY = ffffffffffffffffffffffffffffffffff00000000000000
CIPHERTEXT = b8aa90040b4c15a12316b78e0f9586fc
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 136
KEY = ffffffffffffffffffffffffffffffffff80000000000000
CIPHERTEXT = 97fac8297ceaabc87d454350601e0673
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 137
KEY = ffffffffffffffffffffffffffffffffffc0000000000000
CIPHERTEXT = 9b47ef567ac28dfe488492f157e2b2e0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 138
KEY = ffffffffffffffffffffffffffffffffffe0000000000000
CIPHERTEXT = 1b8426027ddb962b5c5ba7eb8bc9ab63
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 139
KEY = fffffffffffffffffffffffffffffffffff0000000000000
CIPHERTEXT = e917fc77e71992a12dbe4c18068bec82
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 140
KEY = fffffffffffffffffffffffffffffffffff8000000000000
CIPHERTEXT = dceebbc98840f8ae6daf76573b7e56f4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 141
KEY = fffffffffffffffffffffffffffffffffffc000000000000
CIPHERTEXT = 4e11a9f74205125b61e0aee047eca20d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 142
KEY = fffffffffffffffffffffffffffffffffffe000000000000
CIPHERTEXT = f60467f55a1f17eab88e800120cbc284
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 143
KEY = ffffffffffffffffffffffffffffffffffff000000000000
CIPHERTEXT = d436649f600b449ee276530f0cd83c11
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 144
KEY = ffffffffffffffffffffffffffffffffffff800000000000
CIPHERTEXT = 3bc0e3656a9e3ac7cd378a737f53b637
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 145
KEY = ffffffffffffffffffffffffffffffffffffc00000000000
CIPHERTEXT = 6bacae63d33b928aa8380f8d54d88c17
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 146
KEY = ffffffffffffffffffffffffffffffffffffe00000000000
CIPHERTEXT = 8935ffbc75ae6251bf8e859f085adcb9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 147
KEY = fffffffffffffffffffffffffffffffffffff00000000000
CIPHERTEXT = 93dc4970fe35f67747cb0562c06d875a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 148
KEY = fffffffffffffffffffffffffffffffffffff80000000000
CIPHERTEXT = 14f9df858975851797ba604fb0d16cc7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 149
KEY = fffffffffffffffffffffffffffffffffffffc0000000000
CIPHERTEXT = 02ea0c98dca10b38c21b3b14e8d1b71f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 150
KEY = fffffffffffffffffffffffffffffffffffffe0000000000
CIPHERTEXT = 8f091b1b5b0749b2adc803e63dda9b72
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 151
KEY = ffffffffffffffffffffffffffffffffffffff0000000000
CIPHERTEXT = 05b389e3322c6da08384345a4137fd08
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 152
KEY = ffffffffffffffffffffffffffffffffffffff8000000000
CIPHERTEXT = 381308c438f35b399f10ad71b05027d8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 153
KEY = ffffffffffffffffffffffffffffffffffffffc000000000
CIPHERTEXT = 68c230fcfa9279c3409fc423e2acbe04
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 154
KEY = ffffffffffffffffffffffffffffffffffffffe000000000
CIPHERTEXT = 1c84a475acb011f3f59f4f46b76274c0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 155
KEY = fffffffffffffffffffffffffffffffffffffff000000000
CIPHERTEXT = 45119b68cb3f8399ee60066b5611a4d7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 156
KEY = fffffffffffffffffffffffffffffffffffffff800000000
CIPHERTEXT = 9423762f527a4060ffca312dcca22a16
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 157
KEY = fffffffffffffffffffffffffffffffffffffffc00000000
CIPHERTEXT = f361a2745a33f056a5ac6ace2f08e344
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 158
KEY = fffffffffffffffffffffffffffffffffffffffe00000000
CIPHERTEXT = 5ef145766eca849f5d011536a6557fdb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 159
KEY = ffffffffffffffffffffffffffffffffffffffff00000000
CIPHERTEXT = c9af27b2c89c9b4cf4a0c4106ac80318
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 160
KEY = ffffffffffffffffffffffffffffffffffffffff80000000
CIPHERTEXT = fb9c4f16c621f4eab7e9ac1d7551dd57
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 161
KEY = ffffffffffffffffffffffffffffffffffffffffc0000000
CIPHERTEXT = 138e06fba466fa70854d8c2e524cffb2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 162
KEY = ffffffffffffffffffffffffffffffffffffffffe0000000
CIPHERTEXT = fb4bc78b225070773f04c40466d4e90c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 163
KEY = fffffffffffffffffffffffffffffffffffffffff0000000
CIPHERTEXT = 8b2cbff1ed0150feda8a4799be94551f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 164
KEY = fffffffffffffffffffffffffffffffffffffffff8000000
CIPHERTEXT = 08b30d7b3f27962709a36bcadfb974bd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 165
KEY = fffffffffffffffffffffffffffffffffffffffffc000000
CIPHERTEXT = fdf6d32e044d77adcf37fb97ac213326
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 166
KEY = fffffffffffffffffffffffffffffffffffffffffe000000
CIPHERTEXT = 93cb284ecdcfd781a8afe32077949e88
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 167
KEY = ffffffffffffffffffffffffffffffffffffffffff000000
CIPHERTEXT = 7b017bb02ec87b2b94c96e40a26fc71a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 168
KEY = ffffffffffffffffffffffffffffffffffffffffff800000
CIPHERTEXT = c5c038b6990664ab08a3aaa5df9f3266
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 169
KEY = ffffffffffffffffffffffffffffffffffffffffffc00000
CIPHERTEXT = 4b7020be37fab6259b2a27f4ec551576
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 170
KEY = ffffffffffffffffffffffffffffffffffffffffffe00000
CIPHERTEXT = 60136703374f64e860b48ce31f930716
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 171
KEY = fffffffffffffffffffffffffffffffffffffffffff00000
CIPHERTEXT = 8d63a269b14d506ccc401ab8a9f1b591
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 172
KEY = fffffffffffffffffffffffffffffffffffffffffff80000
CIPHERTEXT = d317f81dc6aa454aee4bd4a5a5cff4bd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 173
KEY = fffffffffffffffffffffffffffffffffffffffffffc0000
CIPHERTEXT = dddececd5354f04d530d76ed884246eb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 174
KEY = fffffffffffffffffffffffffffffffffffffffffffe0000
CIPHERTEXT = 41c5205cc8fd8eda9a3cffd2518f365a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 175
KEY = ffffffffffffffffffffffffffffffffffffffffffff0000
CIPHERTEXT = cf42fb474293d96eca9db1b37b1ba676
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 176
KEY = ffffffffffffffffffffffffffffffffffffffffffff8000
CIPHERTEXT = a231692607169b4ecdead5cd3b10db3e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 177
KEY = ffffffffffffffffffffffffffffffffffffffffffffc000
CIPHERTEXT = ace4b91c9c669e77e7acacd19859ed49
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 178
KEY = ffffffffffffffffffffffffffffffffffffffffffffe000
CIPHERTEXT = 75db7cfd4a7b2b62ab78a48f3ddaf4af
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 179
KEY = fffffffffffffffffffffffffffffffffffffffffffff000
CIPHERTEXT = c1faba2d46e259cf480d7c38e4572a58
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 180
KEY = fffffffffffffffffffffffffffffffffffffffffffff800
CIPHERTEXT = 241c45bc6ae16dee6eb7bea128701582
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 181
KEY = fffffffffffffffffffffffffffffffffffffffffffffc00
CIPHERTEXT = 8fd03057cf1364420c2b78069a3e2502
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 182
KEY = fffffffffffffffffffffffffffffffffffffffffffffe00
CIPHERTEXT = ddb505e6cc1384cbaec1df90b80beb20
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 183
KEY = ffffffffffffffffffffffffffffffffffffffffffffff00
CIPHERTEXT = 5674a3bed27bf4bd3622f9f5fe208306
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 184
KEY = ffffffffffffffffffffffffffffffffffffffffffffff80
CIPHERTEXT = b687f26a89cfbfbb8e5eeac54055315e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 185
KEY = ffffffffffffffffffffffffffffffffffffffffffffffc0
CIPHERTEXT = 0547dd32d3b29ab6a4caeb606c5b6f78
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 186
KEY = ffffffffffffffffffffffffffffffffffffffffffffffe0
CIPHERTEXT = 186861f8bc5386d31fb77f720c3226e6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 187
KEY = fffffffffffffffffffffffffffffffffffffffffffffff0
CIPHERTEXT = eacf1e6c4224efb38900b185ab1dfd42
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 188
KEY = fffffffffffffffffffffffffffffffffffffffffffffff8
CIPHERTEXT = d241aab05a42d319de81d874f5c7b90d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 189
KEY = fffffffffffffffffffffffffffffffffffffffffffffffc
CIPHERTEXT = 5eb9bc759e2ad8d2140a6c762ae9e1ab
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 190
KEY = fffffffffffffffffffffffffffffffffffffffffffffffe
CIPHERTEXT = 018596e15e78e2c064159defce5f3085
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 191
KEY = ffffffffffffffffffffffffffffffffffffffffffffffff
CIPHERTEXT = dd8a493514231cbf56eccee4c40889fb
PLAINTEXT = 00000000000000000000000000000000
/trunk/verilog/sim/KAT_AES/ECBVarKey128e.txt
0,0 → 1,641
[ENCRYPT]
 
COUNT = 0
KEY = 80000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0edd33d3c621e546455bd8ba1418bec8
 
COUNT = 1
KEY = c0000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4bc3f883450c113c64ca42e1112a9e87
 
COUNT = 2
KEY = e0000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 72a1da770f5d7ac4c9ef94d822affd97
 
COUNT = 3
KEY = f0000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 970014d634e2b7650777e8e84d03ccd8
 
COUNT = 4
KEY = f8000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f17e79aed0db7e279e955b5f493875a7
 
COUNT = 5
KEY = fc000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9ed5a75136a940d0963da379db4af26a
 
COUNT = 6
KEY = fe000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c4295f83465c7755e8fa364bac6a7ea5
 
COUNT = 7
KEY = ff000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b1d758256b28fd850ad4944208cf1155
 
COUNT = 8
KEY = ff800000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 42ffb34c743de4d88ca38011c990890b
 
COUNT = 9
KEY = ffc00000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9958f0ecea8b2172c0c1995f9182c0f3
 
COUNT = 10
KEY = ffe00000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 956d7798fac20f82a8823f984d06f7f5
 
COUNT = 11
KEY = fff00000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a01bf44f2d16be928ca44aaf7b9b106b
 
COUNT = 12
KEY = fff80000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b5f1a33e50d40d103764c76bd4c6b6f8
 
COUNT = 13
KEY = fffc0000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2637050c9fc0d4817e2d69de878aee8d
 
COUNT = 14
KEY = fffe0000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 113ecbe4a453269a0dd26069467fb5b5
 
COUNT = 15
KEY = ffff0000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 97d0754fe68f11b9e375d070a608c884
 
COUNT = 16
KEY = ffff8000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c6a0b3e998d05068a5399778405200b4
 
COUNT = 17
KEY = ffffc000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = df556a33438db87bc41b1752c55e5e49
 
COUNT = 18
KEY = ffffe000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 90fb128d3a1af6e548521bb962bf1f05
 
COUNT = 19
KEY = fffff000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 26298e9c1db517c215fadfb7d2a8d691
 
COUNT = 20
KEY = fffff800000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a6cb761d61f8292d0df393a279ad0380
 
COUNT = 21
KEY = fffffc00000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 12acd89b13cd5f8726e34d44fd486108
 
COUNT = 22
KEY = fffffe00000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 95b1703fc57ba09fe0c3580febdd7ed4
 
COUNT = 23
KEY = ffffff00000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = de11722d893e9f9121c381becc1da59a
 
COUNT = 24
KEY = ffffff80000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6d114ccb27bf391012e8974c546d9bf2
 
COUNT = 25
KEY = ffffffc0000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5ce37e17eb4646ecfac29b9cc38d9340
 
COUNT = 26
KEY = ffffffe0000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 18c1b6e2157122056d0243d8a165cddb
 
COUNT = 27
KEY = fffffff0000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 99693e6a59d1366c74d823562d7e1431
 
COUNT = 28
KEY = fffffff8000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6c7c64dc84a8bba758ed17eb025a57e3
 
COUNT = 29
KEY = fffffffc000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e17bc79f30eaab2fac2cbbe3458d687a
 
COUNT = 30
KEY = fffffffe000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1114bc2028009b923f0b01915ce5e7c4
 
COUNT = 31
KEY = ffffffff000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9c28524a16a1e1c1452971caa8d13476
 
COUNT = 32
KEY = ffffffff800000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ed62e16363638360fdd6ad62112794f0
 
COUNT = 33
KEY = ffffffffc00000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5a8688f0b2a2c16224c161658ffd4044
 
COUNT = 34
KEY = ffffffffe00000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 23f710842b9bb9c32f26648c786807ca
 
COUNT = 35
KEY = fffffffff00000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 44a98bf11e163f632c47ec6a49683a89
 
COUNT = 36
KEY = fffffffff80000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0f18aff94274696d9b61848bd50ac5e5
 
COUNT = 37
KEY = fffffffffc0000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 82408571c3e2424540207f833b6dda69
 
COUNT = 38
KEY = fffffffffe0000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 303ff996947f0c7d1f43c8f3027b9b75
 
COUNT = 39
KEY = ffffffffff0000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7df4daf4ad29a3615a9b6ece5c99518a
 
COUNT = 40
KEY = ffffffffff8000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c72954a48d0774db0b4971c526260415
 
COUNT = 41
KEY = ffffffffffc000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1df9b76112dc6531e07d2cfda04411f0
 
COUNT = 42
KEY = ffffffffffe000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8e4d8e699119e1fc87545a647fb1d34f
 
COUNT = 43
KEY = fffffffffff000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e6c4807ae11f36f091c57d9fb68548d1
 
COUNT = 44
KEY = fffffffffff800000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8ebf73aad49c82007f77a5c1ccec6ab4
 
COUNT = 45
KEY = fffffffffffc00000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4fb288cc2040049001d2c7585ad123fc
 
COUNT = 46
KEY = fffffffffffe00000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 04497110efb9dceb13e2b13fb4465564
 
COUNT = 47
KEY = ffffffffffff00000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 75550e6cb5a88e49634c9ab69eda0430
 
COUNT = 48
KEY = ffffffffffff80000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b6768473ce9843ea66a81405dd50b345
 
COUNT = 49
KEY = ffffffffffffc0000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cb2f430383f9084e03a653571e065de6
 
COUNT = 50
KEY = ffffffffffffe0000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ff4e66c07bae3e79fb7d210847a3b0ba
 
COUNT = 51
KEY = fffffffffffff0000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7b90785125505fad59b13c186dd66ce3
 
COUNT = 52
KEY = fffffffffffff8000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8b527a6aebdaec9eaef8eda2cb7783e5
 
COUNT = 53
KEY = fffffffffffffc000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 43fdaf53ebbc9880c228617d6a9b548b
 
COUNT = 54
KEY = fffffffffffffe000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 53786104b9744b98f052c46f1c850d0b
 
COUNT = 55
KEY = ffffffffffffff000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b5ab3013dd1e61df06cbaf34ca2aee78
 
COUNT = 56
KEY = ffffffffffffff800000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7470469be9723030fdcc73a8cd4fbb10
 
COUNT = 57
KEY = ffffffffffffffc00000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a35a63f5343ebe9ef8167bcb48ad122e
 
COUNT = 58
KEY = ffffffffffffffe00000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fd8687f0757a210e9fdf181204c30863
 
COUNT = 59
KEY = fffffffffffffff00000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7a181e84bd5457d26a88fbae96018fb0
 
COUNT = 60
KEY = fffffffffffffff80000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 653317b9362b6f9b9e1a580e68d494b5
 
COUNT = 61
KEY = fffffffffffffffc0000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 995c9dc0b689f03c45867b5faa5c18d1
 
COUNT = 62
KEY = fffffffffffffffe0000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 77a4d96d56dda398b9aabecfc75729fd
 
COUNT = 63
KEY = ffffffffffffffff0000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 84be19e053635f09f2665e7bae85b42d
 
COUNT = 64
KEY = ffffffffffffffff8000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 32cd652842926aea4aa6137bb2be2b5e
 
COUNT = 65
KEY = ffffffffffffffffc000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 493d4a4f38ebb337d10aa84e9171a554
 
COUNT = 66
KEY = ffffffffffffffffe000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d9bff7ff454b0ec5a4a2a69566e2cb84
 
COUNT = 67
KEY = fffffffffffffffff000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3535d565ace3f31eb249ba2cc6765d7a
 
COUNT = 68
KEY = fffffffffffffffff800000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f60e91fc3269eecf3231c6e9945697c6
 
COUNT = 69
KEY = fffffffffffffffffc00000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ab69cfadf51f8e604d9cc37182f6635a
 
COUNT = 70
KEY = fffffffffffffffffe00000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7866373f24a0b6ed56e0d96fcdafb877
 
COUNT = 71
KEY = ffffffffffffffffff00000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1ea448c2aac954f5d812e9d78494446a
 
COUNT = 72
KEY = ffffffffffffffffff80000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = acc5599dd8ac02239a0fef4a36dd1668
 
COUNT = 73
KEY = ffffffffffffffffffc0000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d8764468bb103828cf7e1473ce895073
 
COUNT = 74
KEY = ffffffffffffffffffe0000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1b0d02893683b9f180458e4aa6b73982
 
COUNT = 75
KEY = fffffffffffffffffff0000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 96d9b017d302df410a937dcdb8bb6e43
 
COUNT = 76
KEY = fffffffffffffffffff8000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ef1623cc44313cff440b1594a7e21cc6
 
COUNT = 77
KEY = fffffffffffffffffffc000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 284ca2fa35807b8b0ae4d19e11d7dbd7
 
COUNT = 78
KEY = fffffffffffffffffffe000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f2e976875755f9401d54f36e2a23a594
 
COUNT = 79
KEY = ffffffffffffffffffff000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ec198a18e10e532403b7e20887c8dd80
 
COUNT = 80
KEY = ffffffffffffffffffff800000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 545d50ebd919e4a6949d96ad47e46a80
 
COUNT = 81
KEY = ffffffffffffffffffffc00000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dbdfb527060e0a71009c7bb0c68f1d44
 
COUNT = 82
KEY = ffffffffffffffffffffe00000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9cfa1322ea33da2173a024f2ff0d896d
 
COUNT = 83
KEY = fffffffffffffffffffff00000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8785b1a75b0f3bd958dcd0e29318c521
 
COUNT = 84
KEY = fffffffffffffffffffff80000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 38f67b9e98e4a97b6df030a9fcdd0104
 
COUNT = 85
KEY = fffffffffffffffffffffc0000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 192afffb2c880e82b05926d0fc6c448b
 
COUNT = 86
KEY = fffffffffffffffffffffe0000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6a7980ce7b105cf530952d74daaf798c
 
COUNT = 87
KEY = ffffffffffffffffffffff0000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ea3695e1351b9d6858bd958cf513ef6c
 
COUNT = 88
KEY = ffffffffffffffffffffff8000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6da0490ba0ba0343b935681d2cce5ba1
 
COUNT = 89
KEY = ffffffffffffffffffffffc000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f0ea23af08534011c60009ab29ada2f1
 
COUNT = 90
KEY = ffffffffffffffffffffffe000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ff13806cf19cc38721554d7c0fcdcd4b
 
COUNT = 91
KEY = fffffffffffffffffffffff000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6838af1f4f69bae9d85dd188dcdf0688
 
COUNT = 92
KEY = fffffffffffffffffffffff800000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 36cf44c92d550bfb1ed28ef583ddf5d7
 
COUNT = 93
KEY = fffffffffffffffffffffffc00000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d06e3195b5376f109d5c4ec6c5d62ced
 
COUNT = 94
KEY = fffffffffffffffffffffffe00000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c440de014d3d610707279b13242a5c36
 
COUNT = 95
KEY = ffffffffffffffffffffffff00000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f0c5c6ffa5e0bd3a94c88f6b6f7c16b9
 
COUNT = 96
KEY = ffffffffffffffffffffffff80000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3e40c3901cd7effc22bffc35dee0b4d9
 
COUNT = 97
KEY = ffffffffffffffffffffffffc0000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b63305c72bedfab97382c406d0c49bc6
 
COUNT = 98
KEY = ffffffffffffffffffffffffe0000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 36bbaab22a6bd4925a99a2b408d2dbae
 
COUNT = 99
KEY = fffffffffffffffffffffffff0000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 307c5b8fcd0533ab98bc51e27a6ce461
 
COUNT = 100
KEY = fffffffffffffffffffffffff8000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 829c04ff4c07513c0b3ef05c03e337b5
 
COUNT = 101
KEY = fffffffffffffffffffffffffc000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f17af0e895dda5eb98efc68066e84c54
 
COUNT = 102
KEY = fffffffffffffffffffffffffe000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 277167f3812afff1ffacb4a934379fc3
 
COUNT = 103
KEY = ffffffffffffffffffffffffff000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2cb1dc3a9c72972e425ae2ef3eb597cd
 
COUNT = 104
KEY = ffffffffffffffffffffffffff800000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 36aeaa3a213e968d4b5b679d3a2c97fe
 
COUNT = 105
KEY = ffffffffffffffffffffffffffc00000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9241daca4fdd034a82372db50e1a0f3f
 
COUNT = 106
KEY = ffffffffffffffffffffffffffe00000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c14574d9cd00cf2b5a7f77e53cd57885
 
COUNT = 107
KEY = fffffffffffffffffffffffffff00000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 793de39236570aba83ab9b737cb521c9
 
COUNT = 108
KEY = fffffffffffffffffffffffffff80000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 16591c0f27d60e29b85a96c33861a7ef
 
COUNT = 109
KEY = fffffffffffffffffffffffffffc0000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 44fb5c4d4f5cb79be5c174a3b1c97348
 
COUNT = 110
KEY = fffffffffffffffffffffffffffe0000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 674d2b61633d162be59dde04222f4740
 
COUNT = 111
KEY = ffffffffffffffffffffffffffff0000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b4750ff263a65e1f9e924ccfd98f3e37
 
COUNT = 112
KEY = ffffffffffffffffffffffffffff8000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 62d0662d6eaeddedebae7f7ea3a4f6b6
 
COUNT = 113
KEY = ffffffffffffffffffffffffffffc000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 70c46bb30692be657f7eaa93ebad9897
 
COUNT = 114
KEY = ffffffffffffffffffffffffffffe000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 323994cfb9da285a5d9642e1759b224a
 
COUNT = 115
KEY = fffffffffffffffffffffffffffff000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1dbf57877b7b17385c85d0b54851e371
 
COUNT = 116
KEY = fffffffffffffffffffffffffffff800
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dfa5c097cdc1532ac071d57b1d28d1bd
 
COUNT = 117
KEY = fffffffffffffffffffffffffffffc00
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3a0c53fa37311fc10bd2a9981f513174
 
COUNT = 118
KEY = fffffffffffffffffffffffffffffe00
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ba4f970c0a25c41814bdae2e506be3b4
 
COUNT = 119
KEY = ffffffffffffffffffffffffffffff00
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2dce3acb727cd13ccd76d425ea56e4f6
 
COUNT = 120
KEY = ffffffffffffffffffffffffffffff80
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5160474d504b9b3eefb68d35f245f4b3
 
COUNT = 121
KEY = ffffffffffffffffffffffffffffffc0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 41a8a947766635dec37553d9a6c0cbb7
 
COUNT = 122
KEY = ffffffffffffffffffffffffffffffe0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 25d6cfe6881f2bf497dd14cd4ddf445b
 
COUNT = 123
KEY = fffffffffffffffffffffffffffffff0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 41c78c135ed9e98c096640647265da1e
 
COUNT = 124
KEY = fffffffffffffffffffffffffffffff8
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5a4d404d8917e353e92a21072c3b2305
 
COUNT = 125
KEY = fffffffffffffffffffffffffffffffc
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 02bc96846b3fdc71643f384cd3cc3eaf
 
COUNT = 126
KEY = fffffffffffffffffffffffffffffffe
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9ba4a9143f4e5d4048521c4f8877d88e
 
COUNT = 127
KEY = ffffffffffffffffffffffffffffffff
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a1f6258c877d5fcd8964484538bfc92c
/trunk/verilog/sim/KAT_AES/ECBVarKey192e.txt
0,0 → 1,961
[ENCRYPT]
 
COUNT = 0
KEY = 800000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = de885dc87f5a92594082d02cc1e1b42c
 
COUNT = 1
KEY = c00000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 132b074e80f2a597bf5febd8ea5da55e
 
COUNT = 2
KEY = e00000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6eccedf8de592c22fb81347b79f2db1f
 
COUNT = 3
KEY = f00000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 180b09f267c45145db2f826c2582d35c
 
COUNT = 4
KEY = f80000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = edd807ef7652d7eb0e13c8b5e15b3bc0
 
COUNT = 5
KEY = fc0000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9978bcf8dd8fd72241223ad24b31b8a4
 
COUNT = 6
KEY = fe0000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5310f654343e8f27e12c83a48d24ff81
 
COUNT = 7
KEY = ff0000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 833f71258d53036b02952c76c744f5a1
 
COUNT = 8
KEY = ff8000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = eba83ff200cff9318a92f8691a06b09f
 
COUNT = 9
KEY = ffc000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ff620ccbe9f3292abdf2176b09f04eba
 
COUNT = 10
KEY = ffe000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7ababc4b3f516c9aafb35f4140b548f9
 
COUNT = 11
KEY = fff000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = aa187824d9c4582b0916493ecbde8c57
 
COUNT = 12
KEY = fff800000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1c0ad553177fd5ea1092c9d626a29dc4
 
COUNT = 13
KEY = fffc00000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a5dc46c37261194124ecaebd680408ec
 
COUNT = 14
KEY = fffe00000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e4f2f2ae23e9b10bacfa58601531ba54
 
COUNT = 15
KEY = ffff00000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b7d67cf1a1e91e8ff3a57a172c7bf412
 
COUNT = 16
KEY = ffff80000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 26706be06967884e847d137128ce47b3
 
COUNT = 17
KEY = ffffc0000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b2f8b409b0585909aad3a7b5a219072a
 
COUNT = 18
KEY = ffffe0000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5e4b7bff0290c78344c54a23b722cd20
 
COUNT = 19
KEY = fffff0000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 07093657552d4414227ce161e9ebf7dd
 
COUNT = 20
KEY = fffff8000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e1af1e7d8bc225ed4dffb771ecbb9e67
 
COUNT = 21
KEY = fffffc000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ef6555253635d8432156cfd9c11b145a
 
COUNT = 22
KEY = fffffe000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fb4035074a5d4260c90cbd6da6c3fceb
 
COUNT = 23
KEY = ffffff000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 446ee416f9ad1c103eb0cc96751c88e1
 
COUNT = 24
KEY = ffffff800000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 198ae2a4637ac0a7890a8fd1485445c9
 
COUNT = 25
KEY = ffffffc00000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 562012ec8faded0825fb2fa70ab30cbd
 
COUNT = 26
KEY = ffffffe00000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cc8a64b46b5d88bf7f247d4dbaf38f05
 
COUNT = 27
KEY = fffffff00000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a168253762e2cc81b42d1e5001762699
 
COUNT = 28
KEY = fffffff80000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1b41f83b38ce5032c6cd7af98cf62061
 
COUNT = 29
KEY = fffffffc0000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 61a89990cd1411750d5fb0dc988447d4
 
COUNT = 30
KEY = fffffffe0000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b5accc8ed629edf8c68a539183b1ea82
 
COUNT = 31
KEY = ffffffff0000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b16fa71f846b81a13f361c43a851f290
 
COUNT = 32
KEY = ffffffff8000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4fad6efdff5975aee7692234bcd54488
 
COUNT = 33
KEY = ffffffffc000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ebfdb05a783d03082dfe5fdd80a00b17
 
COUNT = 34
KEY = ffffffffe000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = eb81b584766997af6ba5529d3bdd8609
 
COUNT = 35
KEY = fffffffff000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0cf4ff4f49c8a0ca060c443499e29313
 
COUNT = 36
KEY = fffffffff800000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cc4ba8a8e029f8b26d8afff9df133bb6
 
COUNT = 37
KEY = fffffffffc00000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fefebf64360f38e4e63558f0ffc550c3
 
COUNT = 38
KEY = fffffffffe00000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 12ad98cbf725137d6a8108c2bed99322
 
COUNT = 39
KEY = ffffffffff00000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6afaa996226198b3e2610413ce1b3f78
 
COUNT = 40
KEY = ffffffffff80000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2a8ce6747a7e39367828e290848502d9
 
COUNT = 41
KEY = ffffffffffc0000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 223736e8b8f89ca1e37b6deab40facf1
 
COUNT = 42
KEY = ffffffffffe0000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c0f797e50418b95fa6013333917a9480
 
COUNT = 43
KEY = fffffffffff0000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a758de37c2ece2a02c73c01fedc9a132
 
COUNT = 44
KEY = fffffffffff8000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3a9b87ae77bae706803966c66c73adbd
 
COUNT = 45
KEY = fffffffffffc000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d365ab8df8ffd782e358121a4a4fc541
 
COUNT = 46
KEY = fffffffffffe000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c8dcd9e6f75e6c36c8daee0466f0ed74
 
COUNT = 47
KEY = ffffffffffff000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c79a637beb1c0304f14014c037e736dd
 
COUNT = 48
KEY = ffffffffffff800000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 105f0a25e84ac930d996281a5f954dd9
 
COUNT = 49
KEY = ffffffffffffc00000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 42e4074b2927973e8d17ffa92f7fe615
 
COUNT = 50
KEY = ffffffffffffe00000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4fe2a9d2c1824449c69e3e0398f12963
 
COUNT = 51
KEY = fffffffffffff00000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b7f29c1e1f62847a15253b28a1e9d712
 
COUNT = 52
KEY = fffffffffffff80000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 36ed5d29b903f31e8983ef8b0a2bf990
 
COUNT = 53
KEY = fffffffffffffc0000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 27b8070270810f9d023f9dd7ff3b4aa2
 
COUNT = 54
KEY = fffffffffffffe0000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 94d46e155c1228f61d1a0db4815ecc4b
 
COUNT = 55
KEY = ffffffffffffff0000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ca6108d1d98071428eeceef1714b96dd
 
COUNT = 56
KEY = ffffffffffffff8000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dc5b25b71b6296cf73dd2cdcac2f70b1
 
COUNT = 57
KEY = ffffffffffffffc000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 44aba95e8a06a2d9d3530d2677878c80
 
COUNT = 58
KEY = ffffffffffffffe000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a570d20e89b467e8f5176061b81dd396
 
COUNT = 59
KEY = fffffffffffffff000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 758f4467a5d8f1e7307dc30b34e404f4
 
COUNT = 60
KEY = fffffffffffffff800000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = bcea28e9071b5a2302970ff352451bc5
 
COUNT = 61
KEY = fffffffffffffffc00000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7523c00bc177d331ad312e09c9015c1c
 
COUNT = 62
KEY = fffffffffffffffe00000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ccac61e3183747b3f5836da21a1bc4f4
 
COUNT = 63
KEY = ffffffffffffffff00000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 707b075791878880b44189d3522b8c30
 
COUNT = 64
KEY = ffffffffffffffff80000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7132d0c0e4a07593cf12ebb12be7688c
 
COUNT = 65
KEY = ffffffffffffffffc0000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = effbac1644deb0c784275fe56e19ead3
 
COUNT = 66
KEY = ffffffffffffffffe0000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a005063f30f4228b374e2459738f26bb
 
COUNT = 67
KEY = fffffffffffffffff0000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 29975b5f48bb68fcbbc7cea93b452ed7
 
COUNT = 68
KEY = fffffffffffffffff8000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cf3f2576e2afedc74bb1ca7eeec1c0e7
 
COUNT = 69
KEY = fffffffffffffffffc000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 07c403f5f966e0e3d9f296d6226dca28
 
COUNT = 70
KEY = fffffffffffffffffe000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c8c20908249ab4a34d6dd0a31327ff1a
 
COUNT = 71
KEY = ffffffffffffffffff000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c0541329ecb6159ab23b7fc5e6a21bca
 
COUNT = 72
KEY = ffffffffffffffffff800000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7aa1acf1a2ed9ba72bc6deb31d88b863
 
COUNT = 73
KEY = ffffffffffffffffffc00000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 808bd8eddabb6f3bf0d5a8a27be1fe8a
 
COUNT = 74
KEY = ffffffffffffffffffe00000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 273c7d7685e14ec66bbb96b8f05b6ddd
 
COUNT = 75
KEY = fffffffffffffffffff00000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 32752eefc8c2a93f91b6e73eb07cca6e
 
COUNT = 76
KEY = fffffffffffffffffff80000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d893e7d62f6ce502c64f75e281f9c000
 
COUNT = 77
KEY = fffffffffffffffffffc0000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8dfd999be5d0cfa35732c0ddc88ff5a5
 
COUNT = 78
KEY = fffffffffffffffffffe0000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 02647c76a300c3173b841487eb2bae9f
 
COUNT = 79
KEY = ffffffffffffffffffff0000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 172df8b02f04b53adab028b4e01acd87
 
COUNT = 80
KEY = ffffffffffffffffffff8000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 054b3bf4998aeb05afd87ec536533a36
 
COUNT = 81
KEY = ffffffffffffffffffffc000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3783f7bf44c97f065258a666cae03020
 
COUNT = 82
KEY = ffffffffffffffffffffe000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = aad4c8a63f80954104de7b92cede1be1
 
COUNT = 83
KEY = fffffffffffffffffffff000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cbfe61810fd5467ccdacb75800f3ac07
 
COUNT = 84
KEY = fffffffffffffffffffff800000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 830d8a2590f7d8e1b55a737f4af45f34
 
COUNT = 85
KEY = fffffffffffffffffffffc00000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fffcd4683f858058e74314671d43fa2c
 
COUNT = 86
KEY = fffffffffffffffffffffe00000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 523d0babbb82f46ebc9e70b1cd41ddd0
 
COUNT = 87
KEY = ffffffffffffffffffffff00000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 344aab37080d7486f7d542a309e53eed
 
COUNT = 88
KEY = ffffffffffffffffffffff80000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 56c5609d0906b23ab9caca816f5dbebd
 
COUNT = 89
KEY = ffffffffffffffffffffffc0000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7026026eedd91adc6d831cdf9894bdc6
 
COUNT = 90
KEY = ffffffffffffffffffffffe0000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 88330baa4f2b618fc9d9b021bf503d5a
 
COUNT = 91
KEY = fffffffffffffffffffffff0000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fc9e0ea22480b0bac935c8a8ebefcdcf
 
COUNT = 92
KEY = fffffffffffffffffffffff8000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 29ca779f398fb04f867da7e8a44756cb
 
COUNT = 93
KEY = fffffffffffffffffffffffc000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 51f89c42985786bfc43c6df8ada36832
 
COUNT = 94
KEY = fffffffffffffffffffffffe000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6ac1de5fb8f21d874e91c53b560c50e3
 
COUNT = 95
KEY = ffffffffffffffffffffffff000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 03aa9058490eda306001a8a9f48d0ca7
 
COUNT = 96
KEY = ffffffffffffffffffffffff800000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e34ec71d6128d4871865d617c30b37e3
 
COUNT = 97
KEY = ffffffffffffffffffffffffc00000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 14be1c535b17cabd0c4d93529d69bf47
 
COUNT = 98
KEY = ffffffffffffffffffffffffe00000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c9ef67756507beec9dd3862883478044
 
COUNT = 99
KEY = fffffffffffffffffffffffff00000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 40e231fa5a5948ce2134e92fc0664d4b
 
COUNT = 100
KEY = fffffffffffffffffffffffff80000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 03194b8e5dda5530d0c678c0b48f5d92
 
COUNT = 101
KEY = fffffffffffffffffffffffffc0000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 90bd086f237cc4fd99f4d76bde6b4826
 
COUNT = 102
KEY = fffffffffffffffffffffffffe0000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 19259761ca17130d6ed86d57cd7951ee
 
COUNT = 103
KEY = ffffffffffffffffffffffffff0000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d7cbb3f34b9b450f24b0e8518e54da6d
 
COUNT = 104
KEY = ffffffffffffffffffffffffff8000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 725b9caebe9f7f417f4068d0d2ee20b3
 
COUNT = 105
KEY = ffffffffffffffffffffffffffc000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9d924b934a90ce1fd39b8a9794f82672
 
COUNT = 106
KEY = ffffffffffffffffffffffffffe000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c50562bf094526a91c5bc63c0c224995
 
COUNT = 107
KEY = fffffffffffffffffffffffffff000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d2f11805046743bd74f57188d9188df7
 
COUNT = 108
KEY = fffffffffffffffffffffffffff800000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8dd274bd0f1b58ae345d9e7233f9b8f3
 
COUNT = 109
KEY = fffffffffffffffffffffffffffc00000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9d6bdc8f4ce5feb0f3bed2e4b9a9bb0b
 
COUNT = 110
KEY = fffffffffffffffffffffffffffe00000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fd5548bcf3f42565f7efa94562528d46
 
COUNT = 111
KEY = ffffffffffffffffffffffffffff00000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d2ccaebd3a4c3e80b063748131ba4a71
 
COUNT = 112
KEY = ffffffffffffffffffffffffffff80000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e03cb23d9e11c9d93f117e9c0a91b576
 
COUNT = 113
KEY = ffffffffffffffffffffffffffffc0000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 78f933a2081ac1db84f69d10f4523fe0
 
COUNT = 114
KEY = ffffffffffffffffffffffffffffe0000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4061f7412ed320de0edc8851c2e2436f
 
COUNT = 115
KEY = fffffffffffffffffffffffffffff0000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9064ba1cd04ce6bab98474330814b4d4
 
COUNT = 116
KEY = fffffffffffffffffffffffffffff8000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 48391bffb9cfff80ac238c886ef0a461
 
COUNT = 117
KEY = fffffffffffffffffffffffffffffc000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b8d2a67df5a999fdbf93edd0343296c9
 
COUNT = 118
KEY = fffffffffffffffffffffffffffffe000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = aaca7367396b69a221bd632bea386eec
 
COUNT = 119
KEY = ffffffffffffffffffffffffffffff000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a80fd5020dfe65f5f16293ec92c6fd89
 
COUNT = 120
KEY = ffffffffffffffffffffffffffffff800000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2162995b8217a67f1abc342e146406f8
 
COUNT = 121
KEY = ffffffffffffffffffffffffffffffc00000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c6a6164b7a60bae4e986ffac28dfadd9
 
COUNT = 122
KEY = ffffffffffffffffffffffffffffffe00000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 64e0d7f900e3d9c83e4b8f96717b2146
 
COUNT = 123
KEY = fffffffffffffffffffffffffffffff00000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1ad2561de8c1232f5d8dbab4739b6cbb
 
COUNT = 124
KEY = fffffffffffffffffffffffffffffff80000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 279689e9a557f58b1c3bf40c97a90964
 
COUNT = 125
KEY = fffffffffffffffffffffffffffffffc0000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c4637e4a5e6377f9cc5a8638045de029
 
COUNT = 126
KEY = fffffffffffffffffffffffffffffffe0000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 492e607e5aea4688594b45f3aee3df90
 
COUNT = 127
KEY = ffffffffffffffffffffffffffffffff0000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e8c4e4381feec74054954c05b777a00a
 
COUNT = 128
KEY = ffffffffffffffffffffffffffffffff8000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 91549514605f38246c9b724ad839f01d
 
COUNT = 129
KEY = ffffffffffffffffffffffffffffffffc000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 74b24e3b6fefe40a4f9ef7ac6e44d76a
 
COUNT = 130
KEY = ffffffffffffffffffffffffffffffffe000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2437a683dc5d4b52abb4a123a8df86c6
 
COUNT = 131
KEY = fffffffffffffffffffffffffffffffff000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = bb2852c891c5947d2ed44032c421b85f
 
COUNT = 132
KEY = fffffffffffffffffffffffffffffffff800000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1b9f5fbd5e8a4264c0a85b80409afa5e
 
COUNT = 133
KEY = fffffffffffffffffffffffffffffffffc00000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 30dab809f85a917fe924733f424ac589
 
COUNT = 134
KEY = fffffffffffffffffffffffffffffffffe00000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = eaef5c1f8d605192646695ceadc65f32
 
COUNT = 135
KEY = ffffffffffffffffffffffffffffffffff00000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b8aa90040b4c15a12316b78e0f9586fc
 
COUNT = 136
KEY = ffffffffffffffffffffffffffffffffff80000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 97fac8297ceaabc87d454350601e0673
 
COUNT = 137
KEY = ffffffffffffffffffffffffffffffffffc0000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9b47ef567ac28dfe488492f157e2b2e0
 
COUNT = 138
KEY = ffffffffffffffffffffffffffffffffffe0000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1b8426027ddb962b5c5ba7eb8bc9ab63
 
COUNT = 139
KEY = fffffffffffffffffffffffffffffffffff0000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e917fc77e71992a12dbe4c18068bec82
 
COUNT = 140
KEY = fffffffffffffffffffffffffffffffffff8000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dceebbc98840f8ae6daf76573b7e56f4
 
COUNT = 141
KEY = fffffffffffffffffffffffffffffffffffc000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4e11a9f74205125b61e0aee047eca20d
 
COUNT = 142
KEY = fffffffffffffffffffffffffffffffffffe000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f60467f55a1f17eab88e800120cbc284
 
COUNT = 143
KEY = ffffffffffffffffffffffffffffffffffff000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d436649f600b449ee276530f0cd83c11
 
COUNT = 144
KEY = ffffffffffffffffffffffffffffffffffff800000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3bc0e3656a9e3ac7cd378a737f53b637
 
COUNT = 145
KEY = ffffffffffffffffffffffffffffffffffffc00000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6bacae63d33b928aa8380f8d54d88c17
 
COUNT = 146
KEY = ffffffffffffffffffffffffffffffffffffe00000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8935ffbc75ae6251bf8e859f085adcb9
 
COUNT = 147
KEY = fffffffffffffffffffffffffffffffffffff00000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 93dc4970fe35f67747cb0562c06d875a
 
COUNT = 148
KEY = fffffffffffffffffffffffffffffffffffff80000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 14f9df858975851797ba604fb0d16cc7
 
COUNT = 149
KEY = fffffffffffffffffffffffffffffffffffffc0000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 02ea0c98dca10b38c21b3b14e8d1b71f
 
COUNT = 150
KEY = fffffffffffffffffffffffffffffffffffffe0000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8f091b1b5b0749b2adc803e63dda9b72
 
COUNT = 151
KEY = ffffffffffffffffffffffffffffffffffffff0000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 05b389e3322c6da08384345a4137fd08
 
COUNT = 152
KEY = ffffffffffffffffffffffffffffffffffffff8000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 381308c438f35b399f10ad71b05027d8
 
COUNT = 153
KEY = ffffffffffffffffffffffffffffffffffffffc000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 68c230fcfa9279c3409fc423e2acbe04
 
COUNT = 154
KEY = ffffffffffffffffffffffffffffffffffffffe000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1c84a475acb011f3f59f4f46b76274c0
 
COUNT = 155
KEY = fffffffffffffffffffffffffffffffffffffff000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 45119b68cb3f8399ee60066b5611a4d7
 
COUNT = 156
KEY = fffffffffffffffffffffffffffffffffffffff800000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9423762f527a4060ffca312dcca22a16
 
COUNT = 157
KEY = fffffffffffffffffffffffffffffffffffffffc00000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f361a2745a33f056a5ac6ace2f08e344
 
COUNT = 158
KEY = fffffffffffffffffffffffffffffffffffffffe00000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5ef145766eca849f5d011536a6557fdb
 
COUNT = 159
KEY = ffffffffffffffffffffffffffffffffffffffff00000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c9af27b2c89c9b4cf4a0c4106ac80318
 
COUNT = 160
KEY = ffffffffffffffffffffffffffffffffffffffff80000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fb9c4f16c621f4eab7e9ac1d7551dd57
 
COUNT = 161
KEY = ffffffffffffffffffffffffffffffffffffffffc0000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 138e06fba466fa70854d8c2e524cffb2
 
COUNT = 162
KEY = ffffffffffffffffffffffffffffffffffffffffe0000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fb4bc78b225070773f04c40466d4e90c
 
COUNT = 163
KEY = fffffffffffffffffffffffffffffffffffffffff0000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8b2cbff1ed0150feda8a4799be94551f
 
COUNT = 164
KEY = fffffffffffffffffffffffffffffffffffffffff8000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 08b30d7b3f27962709a36bcadfb974bd
 
COUNT = 165
KEY = fffffffffffffffffffffffffffffffffffffffffc000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fdf6d32e044d77adcf37fb97ac213326
 
COUNT = 166
KEY = fffffffffffffffffffffffffffffffffffffffffe000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 93cb284ecdcfd781a8afe32077949e88
 
COUNT = 167
KEY = ffffffffffffffffffffffffffffffffffffffffff000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7b017bb02ec87b2b94c96e40a26fc71a
 
COUNT = 168
KEY = ffffffffffffffffffffffffffffffffffffffffff800000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c5c038b6990664ab08a3aaa5df9f3266
 
COUNT = 169
KEY = ffffffffffffffffffffffffffffffffffffffffffc00000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4b7020be37fab6259b2a27f4ec551576
 
COUNT = 170
KEY = ffffffffffffffffffffffffffffffffffffffffffe00000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 60136703374f64e860b48ce31f930716
 
COUNT = 171
KEY = fffffffffffffffffffffffffffffffffffffffffff00000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8d63a269b14d506ccc401ab8a9f1b591
 
COUNT = 172
KEY = fffffffffffffffffffffffffffffffffffffffffff80000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d317f81dc6aa454aee4bd4a5a5cff4bd
 
COUNT = 173
KEY = fffffffffffffffffffffffffffffffffffffffffffc0000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dddececd5354f04d530d76ed884246eb
 
COUNT = 174
KEY = fffffffffffffffffffffffffffffffffffffffffffe0000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 41c5205cc8fd8eda9a3cffd2518f365a
 
COUNT = 175
KEY = ffffffffffffffffffffffffffffffffffffffffffff0000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cf42fb474293d96eca9db1b37b1ba676
 
COUNT = 176
KEY = ffffffffffffffffffffffffffffffffffffffffffff8000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a231692607169b4ecdead5cd3b10db3e
 
COUNT = 177
KEY = ffffffffffffffffffffffffffffffffffffffffffffc000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ace4b91c9c669e77e7acacd19859ed49
 
COUNT = 178
KEY = ffffffffffffffffffffffffffffffffffffffffffffe000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 75db7cfd4a7b2b62ab78a48f3ddaf4af
 
COUNT = 179
KEY = fffffffffffffffffffffffffffffffffffffffffffff000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c1faba2d46e259cf480d7c38e4572a58
 
COUNT = 180
KEY = fffffffffffffffffffffffffffffffffffffffffffff800
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 241c45bc6ae16dee6eb7bea128701582
 
COUNT = 181
KEY = fffffffffffffffffffffffffffffffffffffffffffffc00
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8fd03057cf1364420c2b78069a3e2502
 
COUNT = 182
KEY = fffffffffffffffffffffffffffffffffffffffffffffe00
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ddb505e6cc1384cbaec1df90b80beb20
 
COUNT = 183
KEY = ffffffffffffffffffffffffffffffffffffffffffffff00
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5674a3bed27bf4bd3622f9f5fe208306
 
COUNT = 184
KEY = ffffffffffffffffffffffffffffffffffffffffffffff80
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b687f26a89cfbfbb8e5eeac54055315e
 
COUNT = 185
KEY = ffffffffffffffffffffffffffffffffffffffffffffffc0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0547dd32d3b29ab6a4caeb606c5b6f78
 
COUNT = 186
KEY = ffffffffffffffffffffffffffffffffffffffffffffffe0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 186861f8bc5386d31fb77f720c3226e6
 
COUNT = 187
KEY = fffffffffffffffffffffffffffffffffffffffffffffff0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = eacf1e6c4224efb38900b185ab1dfd42
 
COUNT = 188
KEY = fffffffffffffffffffffffffffffffffffffffffffffff8
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d241aab05a42d319de81d874f5c7b90d
 
COUNT = 189
KEY = fffffffffffffffffffffffffffffffffffffffffffffffc
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5eb9bc759e2ad8d2140a6c762ae9e1ab
 
COUNT = 190
KEY = fffffffffffffffffffffffffffffffffffffffffffffffe
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 018596e15e78e2c064159defce5f3085
 
COUNT = 191
KEY = ffffffffffffffffffffffffffffffffffffffffffffffff
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dd8a493514231cbf56eccee4c40889fb
/trunk/verilog/sim/KAT_AES/ECBVarKey256d.txt
0,0 → 1,1281
[DECRYPT]
 
COUNT = 0
KEY = 8000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = e35a6dcb19b201a01ebcfa8aa22b5759
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 1
KEY = c000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = b29169cdcf2d83e838125a12ee6aa400
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 2
KEY = e000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = d8f3a72fc3cdf74dfaf6c3e6b97b2fa6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 3
KEY = f000000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1c777679d50037c79491a94da76a9a35
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 4
KEY = f800000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 9cf4893ecafa0a0247a898e040691559
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 5
KEY = fc00000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 8fbb413703735326310a269bd3aa94b2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 6
KEY = fe00000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 60e32246bed2b0e859e55c1cc6b26502
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 7
KEY = ff00000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = ec52a212f80a09df6317021bc2a9819e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 8
KEY = ff80000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = f23e5b600eb70dbccf6c0b1d9a68182c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 9
KEY = ffc0000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = a3f599d63a82a968c33fe26590745970
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 10
KEY = ffe0000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = d1ccb9b1337002cbac42c520b5d67722
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 11
KEY = fff0000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = cc111f6c37cf40a1159d00fb59fb0488
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 12
KEY = fff8000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = dc43b51ab609052372989a26e9cdd714
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 13
KEY = fffc000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 4dcede8da9e2578f39703d4433dc6459
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 14
KEY = fffe000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 1a4c1c263bbccfafc11782894685e3a8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 15
KEY = ffff000000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 937ad84880db50613423d6d527a2823d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 16
KEY = ffff800000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 610b71dfc688e150d8152c5b35ebc14d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 17
KEY = ffffc00000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 27ef2495dabf323885aab39c80f18d8b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 18
KEY = ffffe00000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 633cafea395bc03adae3a1e2068e4b4e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 19
KEY = fffff00000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 6e1b482b53761cf631819b749a6f3724
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 20
KEY = fffff80000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 976e6f851ab52c771998dbb2d71c75a9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 21
KEY = fffffc0000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 85f2ba84f8c307cf525e124c3e22e6cc
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 22
KEY = fffffe0000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 6bcca98bf6a835fa64955f72de4115fe
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 23
KEY = ffffff0000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 2c75e2d36eebd65411f14fd0eb1d2a06
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 24
KEY = ffffff8000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = bd49295006250ffca5100b6007a0eade
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 25
KEY = ffffffc000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = a190527d0ef7c70f459cd3940df316ec
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 26
KEY = ffffffe000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = bbd1097a62433f79449fa97d4ee80dbf
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 27
KEY = fffffff000000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 07058e408f5b99b0e0f061a1761b5b3b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 28
KEY = fffffff800000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 5fd1f13fa0f31e37fabde328f894eac2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 29
KEY = fffffffc00000000000000000000000000000000000000000000000000000000
CIPHERTEXT = fc4af7c948df26e2ef3e01c1ee5b8f6f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 30
KEY = fffffffe00000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 829fd7208fb92d44a074a677ee9861ac
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 31
KEY = ffffffff00000000000000000000000000000000000000000000000000000000
CIPHERTEXT = ad9fc613a703251b54c64a0e76431711
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 32
KEY = ffffffff80000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 33ac9eccc4cc75e2711618f80b1548e8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 33
KEY = ffffffffc0000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 2025c74b8ad8f4cda17ee2049c4c902d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 34
KEY = ffffffffe0000000000000000000000000000000000000000000000000000000
CIPHERTEXT = f85ca05fe528f1ce9b790166e8d551e7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 35
KEY = fffffffff0000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 6f6238d8966048d4967154e0dad5a6c9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 36
KEY = fffffffff8000000000000000000000000000000000000000000000000000000
CIPHERTEXT = f2b21b4e7640a9b3346de8b82fb41e49
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 37
KEY = fffffffffc000000000000000000000000000000000000000000000000000000
CIPHERTEXT = f836f251ad1d11d49dc344628b1884e1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 38
KEY = fffffffffe000000000000000000000000000000000000000000000000000000
CIPHERTEXT = 077e9470ae7abea5a9769d49182628c3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 39
KEY = ffffffffff000000000000000000000000000000000000000000000000000000
CIPHERTEXT = e0dcc2d27fc9865633f85223cf0d611f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 40
KEY = ffffffffff800000000000000000000000000000000000000000000000000000
CIPHERTEXT = be66cfea2fecd6bf0ec7b4352c99bcaa
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 41
KEY = ffffffffffc00000000000000000000000000000000000000000000000000000
CIPHERTEXT = df31144f87a2ef523facdcf21a427804
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 42
KEY = ffffffffffe00000000000000000000000000000000000000000000000000000
CIPHERTEXT = b5bb0f5629fb6aae5e1839a3c3625d63
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 43
KEY = fffffffffff00000000000000000000000000000000000000000000000000000
CIPHERTEXT = 3c9db3335306fe1ec612bdbfae6b6028
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 44
KEY = fffffffffff80000000000000000000000000000000000000000000000000000
CIPHERTEXT = 3dd5c34634a79d3cfcc8339760e6f5f4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 45
KEY = fffffffffffc0000000000000000000000000000000000000000000000000000
CIPHERTEXT = 82bda118a3ed7af314fa2ccc5c07b761
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 46
KEY = fffffffffffe0000000000000000000000000000000000000000000000000000
CIPHERTEXT = 2937a64f7d4f46fe6fea3b349ec78e38
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 47
KEY = ffffffffffff0000000000000000000000000000000000000000000000000000
CIPHERTEXT = 225f068c28476605735ad671bb8f39f3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 48
KEY = ffffffffffff8000000000000000000000000000000000000000000000000000
CIPHERTEXT = ae682c5ecd71898e08942ac9aa89875c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 49
KEY = ffffffffffffc000000000000000000000000000000000000000000000000000
CIPHERTEXT = 5e031cb9d676c3022d7f26227e85c38f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 50
KEY = ffffffffffffe000000000000000000000000000000000000000000000000000
CIPHERTEXT = a78463fb064db5d52bb64bfef64f2dda
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 51
KEY = fffffffffffff000000000000000000000000000000000000000000000000000
CIPHERTEXT = 8aa9b75e784593876c53a00eae5af52b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 52
KEY = fffffffffffff800000000000000000000000000000000000000000000000000
CIPHERTEXT = 3f84566df23da48af692722fe980573a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 53
KEY = fffffffffffffc00000000000000000000000000000000000000000000000000
CIPHERTEXT = 31690b5ed41c7eb42a1e83270a7ff0e6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 54
KEY = fffffffffffffe00000000000000000000000000000000000000000000000000
CIPHERTEXT = 77dd7702646d55f08365e477d3590eda
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 55
KEY = ffffffffffffff00000000000000000000000000000000000000000000000000
CIPHERTEXT = 4c022ac62b3cb78d739cc67b3e20bb7e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 56
KEY = ffffffffffffff80000000000000000000000000000000000000000000000000
CIPHERTEXT = 092fa137ce18b5dfe7906f550bb13370
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 57
KEY = ffffffffffffffc0000000000000000000000000000000000000000000000000
CIPHERTEXT = 3e0cdadf2e68353c0027672c97144dd3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 58
KEY = ffffffffffffffe0000000000000000000000000000000000000000000000000
CIPHERTEXT = d8c4b200b383fc1f2b2ea677618a1d27
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 59
KEY = fffffffffffffff0000000000000000000000000000000000000000000000000
CIPHERTEXT = 11825f99b0e9bb3477c1c0713b015aac
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 60
KEY = fffffffffffffff8000000000000000000000000000000000000000000000000
CIPHERTEXT = f8b9fffb5c187f7ddc7ab10f4fb77576
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 61
KEY = fffffffffffffffc000000000000000000000000000000000000000000000000
CIPHERTEXT = ffb4e87a32b37d6f2c8328d3b5377802
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 62
KEY = fffffffffffffffe000000000000000000000000000000000000000000000000
CIPHERTEXT = d276c13a5d220f4da9224e74896391ce
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 63
KEY = ffffffffffffffff000000000000000000000000000000000000000000000000
CIPHERTEXT = 94efe7a0e2e031e2536da01df799c927
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 64
KEY = ffffffffffffffff800000000000000000000000000000000000000000000000
CIPHERTEXT = 8f8fd822680a85974e53a5a8eb9d38de
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 65
KEY = ffffffffffffffffc00000000000000000000000000000000000000000000000
CIPHERTEXT = e0f0a91b2e45f8cc37b7805a3042588d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 66
KEY = ffffffffffffffffe00000000000000000000000000000000000000000000000
CIPHERTEXT = 597a6252255e46d6364dbeeda31e279c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 67
KEY = fffffffffffffffff00000000000000000000000000000000000000000000000
CIPHERTEXT = f51a0f694442b8f05571797fec7ee8bf
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 68
KEY = fffffffffffffffff80000000000000000000000000000000000000000000000
CIPHERTEXT = 9ff071b165b5198a93dddeebc54d09b5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 69
KEY = fffffffffffffffffc0000000000000000000000000000000000000000000000
CIPHERTEXT = c20a19fd5758b0c4bc1a5df89cf73877
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 70
KEY = fffffffffffffffffe0000000000000000000000000000000000000000000000
CIPHERTEXT = 97120166307119ca2280e9315668e96f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 71
KEY = ffffffffffffffffff0000000000000000000000000000000000000000000000
CIPHERTEXT = 4b3b9f1e099c2a09dc091e90e4f18f0a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 72
KEY = ffffffffffffffffff8000000000000000000000000000000000000000000000
CIPHERTEXT = eb040b891d4b37f6851f7ec219cd3f6d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 73
KEY = ffffffffffffffffffc000000000000000000000000000000000000000000000
CIPHERTEXT = 9f0fdec08b7fd79aa39535bea42db92a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 74
KEY = ffffffffffffffffffe000000000000000000000000000000000000000000000
CIPHERTEXT = 2e70f168fc74bf911df240bcd2cef236
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 75
KEY = fffffffffffffffffff000000000000000000000000000000000000000000000
CIPHERTEXT = 462ccd7f5fd1108dbc152f3cacad328b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 76
KEY = fffffffffffffffffff800000000000000000000000000000000000000000000
CIPHERTEXT = a4af534a7d0b643a01868785d86dfb95
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 77
KEY = fffffffffffffffffffc00000000000000000000000000000000000000000000
CIPHERTEXT = ab980296197e1a5022326c31da4bf6f3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 78
KEY = fffffffffffffffffffe00000000000000000000000000000000000000000000
CIPHERTEXT = f97d57b3333b6281b07d486db2d4e20c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 79
KEY = ffffffffffffffffffff00000000000000000000000000000000000000000000
CIPHERTEXT = f33fa36720231afe4c759ade6bd62eb6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 80
KEY = ffffffffffffffffffff80000000000000000000000000000000000000000000
CIPHERTEXT = fdcfac0c02ca538343c68117e0a15938
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 81
KEY = ffffffffffffffffffffc0000000000000000000000000000000000000000000
CIPHERTEXT = ad4916f5ee5772be764fc027b8a6e539
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 82
KEY = ffffffffffffffffffffe0000000000000000000000000000000000000000000
CIPHERTEXT = 2e16873e1678610d7e14c02d002ea845
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 83
KEY = fffffffffffffffffffff0000000000000000000000000000000000000000000
CIPHERTEXT = 4e6e627c1acc51340053a8236d579576
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 84
KEY = fffffffffffffffffffff8000000000000000000000000000000000000000000
CIPHERTEXT = ab0c8410aeeead92feec1eb430d652cb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 85
KEY = fffffffffffffffffffffc000000000000000000000000000000000000000000
CIPHERTEXT = e86f7e23e835e114977f60e1a592202e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 86
KEY = fffffffffffffffffffffe000000000000000000000000000000000000000000
CIPHERTEXT = e68ad5055a367041fade09d9a70a794b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 87
KEY = ffffffffffffffffffffff000000000000000000000000000000000000000000
CIPHERTEXT = 0791823a3c666bb6162825e78606a7fe
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 88
KEY = ffffffffffffffffffffff800000000000000000000000000000000000000000
CIPHERTEXT = dcca366a9bf47b7b868b77e25c18a364
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 89
KEY = ffffffffffffffffffffffc00000000000000000000000000000000000000000
CIPHERTEXT = 684c9efc237e4a442965f84bce20247a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 90
KEY = ffffffffffffffffffffffe00000000000000000000000000000000000000000
CIPHERTEXT = a858411ffbe63fdb9c8aa1bfaed67b52
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 91
KEY = fffffffffffffffffffffff00000000000000000000000000000000000000000
CIPHERTEXT = 04bc3da2179c3015498b0e03910db5b8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 92
KEY = fffffffffffffffffffffff80000000000000000000000000000000000000000
CIPHERTEXT = 40071eeab3f935dbc25d00841460260f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 93
KEY = fffffffffffffffffffffffc0000000000000000000000000000000000000000
CIPHERTEXT = 0ebd7c30ed2016e08ba806ddb008bcc8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 94
KEY = fffffffffffffffffffffffe0000000000000000000000000000000000000000
CIPHERTEXT = 15c6becf0f4cec7129cbd22d1a79b1b8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 95
KEY = ffffffffffffffffffffffff0000000000000000000000000000000000000000
CIPHERTEXT = 0aeede5b91f721700e9e62edbf60b781
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 96
KEY = ffffffffffffffffffffffff8000000000000000000000000000000000000000
CIPHERTEXT = 266581af0dcfbed1585e0a242c64b8df
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 97
KEY = ffffffffffffffffffffffffc000000000000000000000000000000000000000
CIPHERTEXT = 6693dc911662ae473216ba22189a511a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 98
KEY = ffffffffffffffffffffffffe000000000000000000000000000000000000000
CIPHERTEXT = 7606fa36d86473e6fb3a1bb0e2c0adf5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 99
KEY = fffffffffffffffffffffffff000000000000000000000000000000000000000
CIPHERTEXT = 112078e9e11fbb78e26ffb8899e96b9a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 100
KEY = fffffffffffffffffffffffff800000000000000000000000000000000000000
CIPHERTEXT = 40b264e921e9e4a82694589ef3798262
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 101
KEY = fffffffffffffffffffffffffc00000000000000000000000000000000000000
CIPHERTEXT = 8d4595cb4fa7026715f55bd68e2882f9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 102
KEY = fffffffffffffffffffffffffe00000000000000000000000000000000000000
CIPHERTEXT = b588a302bdbc09197df1edae68926ed9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 103
KEY = ffffffffffffffffffffffffff00000000000000000000000000000000000000
CIPHERTEXT = 33f7502390b8a4a221cfecd0666624ba
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 104
KEY = ffffffffffffffffffffffffff80000000000000000000000000000000000000
CIPHERTEXT = 3d20253adbce3be2373767c4d822c566
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 105
KEY = ffffffffffffffffffffffffffc0000000000000000000000000000000000000
CIPHERTEXT = a42734a3929bf84cf0116c9856a3c18c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 106
KEY = ffffffffffffffffffffffffffe0000000000000000000000000000000000000
CIPHERTEXT = e3abc4939457422bb957da3c56938c6d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 107
KEY = fffffffffffffffffffffffffff0000000000000000000000000000000000000
CIPHERTEXT = 972bdd2e7c525130fadc8f76fc6f4b3f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 108
KEY = fffffffffffffffffffffffffff8000000000000000000000000000000000000
CIPHERTEXT = 84a83d7b94c699cbcb8a7d9b61f64093
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 109
KEY = fffffffffffffffffffffffffffc000000000000000000000000000000000000
CIPHERTEXT = ce61d63514aded03d43e6ebfc3a9001f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 110
KEY = fffffffffffffffffffffffffffe000000000000000000000000000000000000
CIPHERTEXT = 6c839dd58eeae6b8a36af48ed63d2dc9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 111
KEY = ffffffffffffffffffffffffffff000000000000000000000000000000000000
CIPHERTEXT = cd5ece55b8da3bf622c4100df5de46f9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 112
KEY = ffffffffffffffffffffffffffff800000000000000000000000000000000000
CIPHERTEXT = 3b6f46f40e0ac5fc0a9c1105f800f48d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 113
KEY = ffffffffffffffffffffffffffffc00000000000000000000000000000000000
CIPHERTEXT = ba26d47da3aeb028de4fb5b3a854a24b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 114
KEY = ffffffffffffffffffffffffffffe00000000000000000000000000000000000
CIPHERTEXT = 87f53bf620d3677268445212904389d5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 115
KEY = fffffffffffffffffffffffffffff00000000000000000000000000000000000
CIPHERTEXT = 10617d28b5e0f4605492b182a5d7f9f6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 116
KEY = fffffffffffffffffffffffffffff80000000000000000000000000000000000
CIPHERTEXT = 9aaec4fabbf6fae2a71feff02e372b39
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 117
KEY = fffffffffffffffffffffffffffffc0000000000000000000000000000000000
CIPHERTEXT = 3a90c62d88b5c42809abf782488ed130
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 118
KEY = fffffffffffffffffffffffffffffe0000000000000000000000000000000000
CIPHERTEXT = f1f1c5a40899e15772857ccb65c7a09a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 119
KEY = ffffffffffffffffffffffffffffff0000000000000000000000000000000000
CIPHERTEXT = 190843d29b25a3897c692ce1dd81ee52
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 120
KEY = ffffffffffffffffffffffffffffff8000000000000000000000000000000000
CIPHERTEXT = a866bc65b6941d86e8420a7ffb0964db
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 121
KEY = ffffffffffffffffffffffffffffffc000000000000000000000000000000000
CIPHERTEXT = 8193c6ff85225ced4255e92f6e078a14
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 122
KEY = ffffffffffffffffffffffffffffffe000000000000000000000000000000000
CIPHERTEXT = 9661cb2424d7d4a380d547f9e7ec1cb9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 123
KEY = fffffffffffffffffffffffffffffff000000000000000000000000000000000
CIPHERTEXT = 86f93d9ec08453a071e2e2877877a9c8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 124
KEY = fffffffffffffffffffffffffffffff800000000000000000000000000000000
CIPHERTEXT = 27eefa80ce6a4a9d598e3fec365434d2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 125
KEY = fffffffffffffffffffffffffffffffc00000000000000000000000000000000
CIPHERTEXT = d62068444578e3ab39ce7ec95dd045dc
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 126
KEY = fffffffffffffffffffffffffffffffe00000000000000000000000000000000
CIPHERTEXT = b5f71d4dd9a71fe5d8bc8ba7e6ea3048
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 127
KEY = ffffffffffffffffffffffffffffffff00000000000000000000000000000000
CIPHERTEXT = 6825a347ac479d4f9d95c5cb8d3fd7e9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 128
KEY = ffffffffffffffffffffffffffffffff80000000000000000000000000000000
CIPHERTEXT = e3714e94a5778955cc0346358e94783a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 129
KEY = ffffffffffffffffffffffffffffffffc0000000000000000000000000000000
CIPHERTEXT = d836b44bb29e0c7d89fa4b2d4b677d2a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 130
KEY = ffffffffffffffffffffffffffffffffe0000000000000000000000000000000
CIPHERTEXT = 5d454b75021d76d4b84f873a8f877b92
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 131
KEY = fffffffffffffffffffffffffffffffff0000000000000000000000000000000
CIPHERTEXT = c3498f7eced2095314fc28115885b33f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 132
KEY = fffffffffffffffffffffffffffffffff8000000000000000000000000000000
CIPHERTEXT = 6e668856539ad8e405bd123fe6c88530
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 133
KEY = fffffffffffffffffffffffffffffffffc000000000000000000000000000000
CIPHERTEXT = 8680db7f3a87b8605543cfdbe6754076
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 134
KEY = fffffffffffffffffffffffffffffffffe000000000000000000000000000000
CIPHERTEXT = 6c5d03b13069c3658b3179be91b0800c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 135
KEY = ffffffffffffffffffffffffffffffffff000000000000000000000000000000
CIPHERTEXT = ef1b384ac4d93eda00c92add0995ea5f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 136
KEY = ffffffffffffffffffffffffffffffffff800000000000000000000000000000
CIPHERTEXT = bf8115805471741bd5ad20a03944790f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 137
KEY = ffffffffffffffffffffffffffffffffffc00000000000000000000000000000
CIPHERTEXT = c64c24b6894b038b3c0d09b1df068b0b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 138
KEY = ffffffffffffffffffffffffffffffffffe00000000000000000000000000000
CIPHERTEXT = 3967a10cffe27d0178545fbf6a40544b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 139
KEY = fffffffffffffffffffffffffffffffffff00000000000000000000000000000
CIPHERTEXT = 7c85e9c95de1a9ec5a5363a8a053472d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 140
KEY = fffffffffffffffffffffffffffffffffff80000000000000000000000000000
CIPHERTEXT = a9eec03c8abec7ba68315c2c8c2316e0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 141
KEY = fffffffffffffffffffffffffffffffffffc0000000000000000000000000000
CIPHERTEXT = cac8e414c2f388227ae14986fc983524
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 142
KEY = fffffffffffffffffffffffffffffffffffe0000000000000000000000000000
CIPHERTEXT = 5d942b7f4622ce056c3ce3ce5f1dd9d6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 143
KEY = ffffffffffffffffffffffffffffffffffff0000000000000000000000000000
CIPHERTEXT = d240d648ce21a3020282c3f1b528a0b6
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 144
KEY = ffffffffffffffffffffffffffffffffffff8000000000000000000000000000
CIPHERTEXT = 45d089c36d5c5a4efc689e3b0de10dd5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 145
KEY = ffffffffffffffffffffffffffffffffffffc000000000000000000000000000
CIPHERTEXT = b4da5df4becb5462e03a0ed00d295629
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 146
KEY = ffffffffffffffffffffffffffffffffffffe000000000000000000000000000
CIPHERTEXT = dcf4e129136c1a4b7a0f38935cc34b2b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 147
KEY = fffffffffffffffffffffffffffffffffffff000000000000000000000000000
CIPHERTEXT = d9a4c7618b0ce48a3d5aee1a1c0114c4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 148
KEY = fffffffffffffffffffffffffffffffffffff800000000000000000000000000
CIPHERTEXT = ca352df025c65c7b0bf306fbee0f36ba
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 149
KEY = fffffffffffffffffffffffffffffffffffffc00000000000000000000000000
CIPHERTEXT = 238aca23fd3409f38af63378ed2f5473
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 150
KEY = fffffffffffffffffffffffffffffffffffffe00000000000000000000000000
CIPHERTEXT = 59836a0e06a79691b36667d5380d8188
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 151
KEY = ffffffffffffffffffffffffffffffffffffff00000000000000000000000000
CIPHERTEXT = 33905080f7acf1cdae0a91fc3e85aee4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 152
KEY = ffffffffffffffffffffffffffffffffffffff80000000000000000000000000
CIPHERTEXT = 72c9e4646dbc3d6320fc6689d93e8833
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 153
KEY = ffffffffffffffffffffffffffffffffffffffc0000000000000000000000000
CIPHERTEXT = ba77413dea5925b7f5417ea47ff19f59
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 154
KEY = ffffffffffffffffffffffffffffffffffffffe0000000000000000000000000
CIPHERTEXT = 6cae8129f843d86dc786a0fb1a184970
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 155
KEY = fffffffffffffffffffffffffffffffffffffff0000000000000000000000000
CIPHERTEXT = fcfefb534100796eebbd990206754e19
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 156
KEY = fffffffffffffffffffffffffffffffffffffff8000000000000000000000000
CIPHERTEXT = 8c791d5fdddf470da04f3e6dc4a5b5b5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 157
KEY = fffffffffffffffffffffffffffffffffffffffc000000000000000000000000
CIPHERTEXT = c93bbdc07a4611ae4bb266ea5034a387
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 158
KEY = fffffffffffffffffffffffffffffffffffffffe000000000000000000000000
CIPHERTEXT = c102e38e489aa74762f3efc5bb23205a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 159
KEY = ffffffffffffffffffffffffffffffffffffffff000000000000000000000000
CIPHERTEXT = 93201481665cbafc1fcc220bc545fb3d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 160
KEY = ffffffffffffffffffffffffffffffffffffffff800000000000000000000000
CIPHERTEXT = 4960757ec6ce68cf195e454cfd0f32ca
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 161
KEY = ffffffffffffffffffffffffffffffffffffffffc00000000000000000000000
CIPHERTEXT = feec7ce6a6cbd07c043416737f1bbb33
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 162
KEY = ffffffffffffffffffffffffffffffffffffffffe00000000000000000000000
CIPHERTEXT = 11c5413904487a805d70a8edd9c35527
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 163
KEY = fffffffffffffffffffffffffffffffffffffffff00000000000000000000000
CIPHERTEXT = 347846b2b2e36f1f0324c86f7f1b98e2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 164
KEY = fffffffffffffffffffffffffffffffffffffffff80000000000000000000000
CIPHERTEXT = 332eee1a0cbd19ca2d69b426894044f0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 165
KEY = fffffffffffffffffffffffffffffffffffffffffc0000000000000000000000
CIPHERTEXT = 866b5b3977ba6efa5128efbda9ff03cd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 166
KEY = fffffffffffffffffffffffffffffffffffffffffe0000000000000000000000
CIPHERTEXT = cc1445ee94c0f08cdee5c344ecd1e233
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 167
KEY = ffffffffffffffffffffffffffffffffffffffffff0000000000000000000000
CIPHERTEXT = be288319029363c2622feba4b05dfdfe
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 168
KEY = ffffffffffffffffffffffffffffffffffffffffff8000000000000000000000
CIPHERTEXT = cfd1875523f3cd21c395651e6ee15e56
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 169
KEY = ffffffffffffffffffffffffffffffffffffffffffc000000000000000000000
CIPHERTEXT = cb5a408657837c53bf16f9d8465dce19
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 170
KEY = ffffffffffffffffffffffffffffffffffffffffffe000000000000000000000
CIPHERTEXT = ca0bf42cb107f55ccff2fc09ee08ca15
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 171
KEY = fffffffffffffffffffffffffffffffffffffffffff000000000000000000000
CIPHERTEXT = fdd9bbb4a7dc2e4a23536a5880a2db67
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 172
KEY = fffffffffffffffffffffffffffffffffffffffffff800000000000000000000
CIPHERTEXT = ede447b362c484993dec9442a3b46aef
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 173
KEY = fffffffffffffffffffffffffffffffffffffffffffc00000000000000000000
CIPHERTEXT = 10dffb05904bff7c4781df780ad26837
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 174
KEY = fffffffffffffffffffffffffffffffffffffffffffe00000000000000000000
CIPHERTEXT = c33bc13e8de88ac25232aa7496398783
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 175
KEY = ffffffffffffffffffffffffffffffffffffffffffff00000000000000000000
CIPHERTEXT = ca359c70803a3b2a3d542e8781dea975
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 176
KEY = ffffffffffffffffffffffffffffffffffffffffffff80000000000000000000
CIPHERTEXT = bcc65b526f88d05b89ce8a52021fdb06
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 177
KEY = ffffffffffffffffffffffffffffffffffffffffffffc0000000000000000000
CIPHERTEXT = db91a38855c8c4643851fbfb358b0109
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 178
KEY = ffffffffffffffffffffffffffffffffffffffffffffe0000000000000000000
CIPHERTEXT = ca6e8893a114ae8e27d5ab03a5499610
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 179
KEY = fffffffffffffffffffffffffffffffffffffffffffff0000000000000000000
CIPHERTEXT = 6629d2b8df97da728cdd8b1e7f945077
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 180
KEY = fffffffffffffffffffffffffffffffffffffffffffff8000000000000000000
CIPHERTEXT = 4570a5a18cfc0dd582f1d88d5c9a1720
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 181
KEY = fffffffffffffffffffffffffffffffffffffffffffffc000000000000000000
CIPHERTEXT = 72bc65aa8e89562e3f274d45af1cd10b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 182
KEY = fffffffffffffffffffffffffffffffffffffffffffffe000000000000000000
CIPHERTEXT = 98551da1a6503276ae1c77625f9ea615
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 183
KEY = ffffffffffffffffffffffffffffffffffffffffffffff000000000000000000
CIPHERTEXT = 0ddfe51ced7e3f4ae927daa3fe452cee
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 184
KEY = ffffffffffffffffffffffffffffffffffffffffffffff800000000000000000
CIPHERTEXT = db826251e4ce384b80218b0e1da1dd4c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 185
KEY = ffffffffffffffffffffffffffffffffffffffffffffffc00000000000000000
CIPHERTEXT = 2cacf728b88abbad7011ed0e64a1680c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 186
KEY = ffffffffffffffffffffffffffffffffffffffffffffffe00000000000000000
CIPHERTEXT = 330d8ee7c5677e099ac74c9994ee4cfb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 187
KEY = fffffffffffffffffffffffffffffffffffffffffffffff00000000000000000
CIPHERTEXT = edf61ae362e882ddc0167474a7a77f3a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 188
KEY = fffffffffffffffffffffffffffffffffffffffffffffff80000000000000000
CIPHERTEXT = 6168b00ba7859e0970ecfd757efecf7c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 189
KEY = fffffffffffffffffffffffffffffffffffffffffffffffc0000000000000000
CIPHERTEXT = d1415447866230d28bb1ea18a4cdfd02
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 190
KEY = fffffffffffffffffffffffffffffffffffffffffffffffe0000000000000000
CIPHERTEXT = 516183392f7a8763afec68a060264141
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 191
KEY = ffffffffffffffffffffffffffffffffffffffffffffffff0000000000000000
CIPHERTEXT = 77565c8d73cfd4130b4aa14d8911710f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 192
KEY = ffffffffffffffffffffffffffffffffffffffffffffffff8000000000000000
CIPHERTEXT = 37232a4ed21ccc27c19c9610078cabac
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 193
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffc000000000000000
CIPHERTEXT = 804f32ea71828c7d329077e712231666
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 194
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffe000000000000000
CIPHERTEXT = d64424f23cb97215e9c2c6f28d29eab7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 195
KEY = fffffffffffffffffffffffffffffffffffffffffffffffff000000000000000
CIPHERTEXT = 023e82b533f68c75c238cebdb2ee89a2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 196
KEY = fffffffffffffffffffffffffffffffffffffffffffffffff800000000000000
CIPHERTEXT = 193a3d24157a51f1ee0893f6777417e7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 197
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffc00000000000000
CIPHERTEXT = 84ecacfcd400084d078612b1945f2ef5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 198
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffe00000000000000
CIPHERTEXT = 1dcd8bb173259eb33a5242b0de31a455
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 199
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffff00000000000000
CIPHERTEXT = 35e9eddbc375e792c19992c19165012b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 200
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffff80000000000000
CIPHERTEXT = 8a772231c01dfdd7c98e4cfddcc0807a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 201
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffc0000000000000
CIPHERTEXT = 6eda7ff6b8319180ff0d6e65629d01c3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 202
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffe0000000000000
CIPHERTEXT = c267ef0e2d01a993944dd397101413cb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 203
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffff0000000000000
CIPHERTEXT = e9f80e9d845bcc0f62926af72eabca39
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 204
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffff8000000000000
CIPHERTEXT = 6702990727aa0878637b45dcd3a3b074
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 205
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffc000000000000
CIPHERTEXT = 2e2e647d5360e09230a5d738ca33471e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 206
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffe000000000000
CIPHERTEXT = 1f56413c7add6f43d1d56e4f02190330
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 207
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffff000000000000
CIPHERTEXT = 69cd0606e15af729d6bca143016d9842
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 208
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffff800000000000
CIPHERTEXT = a085d7c1a500873a20099c4caa3c3f5b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 209
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffc00000000000
CIPHERTEXT = 4fc0d230f8891415b87b83f95f2e09d1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 210
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffe00000000000
CIPHERTEXT = 4327d08c523d8eba697a4336507d1f42
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 211
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffff00000000000
CIPHERTEXT = 7a15aab82701efa5ae36ab1d6b76290f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 212
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffff80000000000
CIPHERTEXT = 5bf0051893a18bb30e139a58fed0fa54
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 213
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffc0000000000
CIPHERTEXT = 97e8adf65638fd9cdf3bc22c17fe4dbd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 214
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffe0000000000
CIPHERTEXT = 1ee6ee326583a0586491c96418d1a35d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 215
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffff0000000000
CIPHERTEXT = 26b549c2ec756f82ecc48008e529956b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 216
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffff8000000000
CIPHERTEXT = 70377b6da669b072129e057cc28e9ca5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 217
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffc000000000
CIPHERTEXT = 9c94b8b0cb8bcc919072262b3fa05ad9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 218
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffe000000000
CIPHERTEXT = 2fbb83dfd0d7abcb05cd28cad2dfb523
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 219
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffff000000000
CIPHERTEXT = 96877803de77744bb970d0a91f4debae
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 220
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffff800000000
CIPHERTEXT = 7379f3370cf6e5ce12ae5969c8eea312
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 221
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffc00000000
CIPHERTEXT = 02dc99fa3d4f98ce80985e7233889313
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 222
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffe00000000
CIPHERTEXT = 1e38e759075ba5cab6457da51844295a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 223
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffff00000000
CIPHERTEXT = 70bed8dbf615868a1f9d9b05d3e7a267
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 224
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffff80000000
CIPHERTEXT = 234b148b8cb1d8c32b287e896903d150
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 225
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffc0000000
CIPHERTEXT = 294b033df4da853f4be3e243f7e513f4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 226
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0000000
CIPHERTEXT = 3f58c950f0367160adec45f2441e7411
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 227
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffff0000000
CIPHERTEXT = 37f655536a704e5ace182d742a820cf4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 228
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffff8000000
CIPHERTEXT = ea7bd6bb63418731aeac790fe42d61e8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 229
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffc000000
CIPHERTEXT = e74a4c999b4c064e48bb1e413f51e5ea
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 230
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffe000000
CIPHERTEXT = ba9ebefdb4ccf30f296cecb3bc1943e8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 231
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffff000000
CIPHERTEXT = 3194367a4898c502c13bb7478640a72d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 232
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffff800000
CIPHERTEXT = da797713263d6f33a5478a65ef60d412
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 233
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc00000
CIPHERTEXT = d1ac39bb1ef86b9c1344f214679aa376
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 234
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe00000
CIPHERTEXT = 2fdea9e650532be5bc0e7325337fd363
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 235
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffff00000
CIPHERTEXT = d3a204dbd9c2af158b6ca67a5156ce4a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 236
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffff80000
CIPHERTEXT = 3a0a0e75a8da36735aee6684d965a778
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 237
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc0000
CIPHERTEXT = 52fc3e620492ea99641ea168da5b6d52
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 238
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0000
CIPHERTEXT = d2e0c7f15b4772467d2cfc873000b2ca
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 239
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff0000
CIPHERTEXT = 563531135e0c4d70a38f8bdb190ba04e
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 240
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff8000
CIPHERTEXT = a8a39a0f5663f4c0fe5f2d3cafff421a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 241
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc000
CIPHERTEXT = d94b5e90db354c1e42f61fabe167b2c0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 242
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe000
CIPHERTEXT = 50e6d3c9b6698a7cd276f96b1473f35a
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 243
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff000
CIPHERTEXT = 9338f08e0ebee96905d8f2e825208f43
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 244
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff800
CIPHERTEXT = 8b378c86672aa54a3a266ba19d2580ca
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 245
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc00
CIPHERTEXT = cca7c3086f5f9511b31233da7cab9160
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 246
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe00
CIPHERTEXT = 5b40ff4ec9be536ba23035fa4f06064c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 247
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff00
CIPHERTEXT = 60eb5af8416b257149372194e8b88749
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 248
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff80
CIPHERTEXT = 2f005a8aed8a361c92e440c15520cbd1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 249
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc0
CIPHERTEXT = 7b03627611678a997717578807a800e2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 250
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0
CIPHERTEXT = cf78618f74f6f3696e0a4779b90b5a77
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 251
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff0
CIPHERTEXT = 03720371a04962eaea0a852e69972858
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 252
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff8
CIPHERTEXT = 1f8a8133aa8ccf70e2bd3285831ca6b7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 253
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc
CIPHERTEXT = 27936bd27fb1468fc8b48bc483321725
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 254
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe
CIPHERTEXT = b07d4f3e2cd2ef2eb545980754dfea0f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 255
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
CIPHERTEXT = 4bf85f1b5d54adbc307b0a048389adcb
PLAINTEXT = 00000000000000000000000000000000
/trunk/verilog/sim/KAT_AES/ECBVarKey256e.txt
0,0 → 1,1281
[ENCRYPT]
 
COUNT = 0
KEY = 8000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e35a6dcb19b201a01ebcfa8aa22b5759
 
COUNT = 1
KEY = c000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b29169cdcf2d83e838125a12ee6aa400
 
COUNT = 2
KEY = e000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d8f3a72fc3cdf74dfaf6c3e6b97b2fa6
 
COUNT = 3
KEY = f000000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1c777679d50037c79491a94da76a9a35
 
COUNT = 4
KEY = f800000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9cf4893ecafa0a0247a898e040691559
 
COUNT = 5
KEY = fc00000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8fbb413703735326310a269bd3aa94b2
 
COUNT = 6
KEY = fe00000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 60e32246bed2b0e859e55c1cc6b26502
 
COUNT = 7
KEY = ff00000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ec52a212f80a09df6317021bc2a9819e
 
COUNT = 8
KEY = ff80000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f23e5b600eb70dbccf6c0b1d9a68182c
 
COUNT = 9
KEY = ffc0000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a3f599d63a82a968c33fe26590745970
 
COUNT = 10
KEY = ffe0000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d1ccb9b1337002cbac42c520b5d67722
 
COUNT = 11
KEY = fff0000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cc111f6c37cf40a1159d00fb59fb0488
 
COUNT = 12
KEY = fff8000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dc43b51ab609052372989a26e9cdd714
 
COUNT = 13
KEY = fffc000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4dcede8da9e2578f39703d4433dc6459
 
COUNT = 14
KEY = fffe000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1a4c1c263bbccfafc11782894685e3a8
 
COUNT = 15
KEY = ffff000000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 937ad84880db50613423d6d527a2823d
 
COUNT = 16
KEY = ffff800000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 610b71dfc688e150d8152c5b35ebc14d
 
COUNT = 17
KEY = ffffc00000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 27ef2495dabf323885aab39c80f18d8b
 
COUNT = 18
KEY = ffffe00000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 633cafea395bc03adae3a1e2068e4b4e
 
COUNT = 19
KEY = fffff00000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6e1b482b53761cf631819b749a6f3724
 
COUNT = 20
KEY = fffff80000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 976e6f851ab52c771998dbb2d71c75a9
 
COUNT = 21
KEY = fffffc0000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 85f2ba84f8c307cf525e124c3e22e6cc
 
COUNT = 22
KEY = fffffe0000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6bcca98bf6a835fa64955f72de4115fe
 
COUNT = 23
KEY = ffffff0000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2c75e2d36eebd65411f14fd0eb1d2a06
 
COUNT = 24
KEY = ffffff8000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = bd49295006250ffca5100b6007a0eade
 
COUNT = 25
KEY = ffffffc000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a190527d0ef7c70f459cd3940df316ec
 
COUNT = 26
KEY = ffffffe000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = bbd1097a62433f79449fa97d4ee80dbf
 
COUNT = 27
KEY = fffffff000000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 07058e408f5b99b0e0f061a1761b5b3b
 
COUNT = 28
KEY = fffffff800000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5fd1f13fa0f31e37fabde328f894eac2
 
COUNT = 29
KEY = fffffffc00000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fc4af7c948df26e2ef3e01c1ee5b8f6f
 
COUNT = 30
KEY = fffffffe00000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 829fd7208fb92d44a074a677ee9861ac
 
COUNT = 31
KEY = ffffffff00000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ad9fc613a703251b54c64a0e76431711
 
COUNT = 32
KEY = ffffffff80000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 33ac9eccc4cc75e2711618f80b1548e8
 
COUNT = 33
KEY = ffffffffc0000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2025c74b8ad8f4cda17ee2049c4c902d
 
COUNT = 34
KEY = ffffffffe0000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f85ca05fe528f1ce9b790166e8d551e7
 
COUNT = 35
KEY = fffffffff0000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6f6238d8966048d4967154e0dad5a6c9
 
COUNT = 36
KEY = fffffffff8000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f2b21b4e7640a9b3346de8b82fb41e49
 
COUNT = 37
KEY = fffffffffc000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f836f251ad1d11d49dc344628b1884e1
 
COUNT = 38
KEY = fffffffffe000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 077e9470ae7abea5a9769d49182628c3
 
COUNT = 39
KEY = ffffffffff000000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e0dcc2d27fc9865633f85223cf0d611f
 
COUNT = 40
KEY = ffffffffff800000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = be66cfea2fecd6bf0ec7b4352c99bcaa
 
COUNT = 41
KEY = ffffffffffc00000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = df31144f87a2ef523facdcf21a427804
 
COUNT = 42
KEY = ffffffffffe00000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b5bb0f5629fb6aae5e1839a3c3625d63
 
COUNT = 43
KEY = fffffffffff00000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3c9db3335306fe1ec612bdbfae6b6028
 
COUNT = 44
KEY = fffffffffff80000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3dd5c34634a79d3cfcc8339760e6f5f4
 
COUNT = 45
KEY = fffffffffffc0000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 82bda118a3ed7af314fa2ccc5c07b761
 
COUNT = 46
KEY = fffffffffffe0000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2937a64f7d4f46fe6fea3b349ec78e38
 
COUNT = 47
KEY = ffffffffffff0000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 225f068c28476605735ad671bb8f39f3
 
COUNT = 48
KEY = ffffffffffff8000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ae682c5ecd71898e08942ac9aa89875c
 
COUNT = 49
KEY = ffffffffffffc000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5e031cb9d676c3022d7f26227e85c38f
 
COUNT = 50
KEY = ffffffffffffe000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a78463fb064db5d52bb64bfef64f2dda
 
COUNT = 51
KEY = fffffffffffff000000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8aa9b75e784593876c53a00eae5af52b
 
COUNT = 52
KEY = fffffffffffff800000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3f84566df23da48af692722fe980573a
 
COUNT = 53
KEY = fffffffffffffc00000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 31690b5ed41c7eb42a1e83270a7ff0e6
 
COUNT = 54
KEY = fffffffffffffe00000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 77dd7702646d55f08365e477d3590eda
 
COUNT = 55
KEY = ffffffffffffff00000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4c022ac62b3cb78d739cc67b3e20bb7e
 
COUNT = 56
KEY = ffffffffffffff80000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 092fa137ce18b5dfe7906f550bb13370
 
COUNT = 57
KEY = ffffffffffffffc0000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3e0cdadf2e68353c0027672c97144dd3
 
COUNT = 58
KEY = ffffffffffffffe0000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d8c4b200b383fc1f2b2ea677618a1d27
 
COUNT = 59
KEY = fffffffffffffff0000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 11825f99b0e9bb3477c1c0713b015aac
 
COUNT = 60
KEY = fffffffffffffff8000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f8b9fffb5c187f7ddc7ab10f4fb77576
 
COUNT = 61
KEY = fffffffffffffffc000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ffb4e87a32b37d6f2c8328d3b5377802
 
COUNT = 62
KEY = fffffffffffffffe000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d276c13a5d220f4da9224e74896391ce
 
COUNT = 63
KEY = ffffffffffffffff000000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 94efe7a0e2e031e2536da01df799c927
 
COUNT = 64
KEY = ffffffffffffffff800000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8f8fd822680a85974e53a5a8eb9d38de
 
COUNT = 65
KEY = ffffffffffffffffc00000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e0f0a91b2e45f8cc37b7805a3042588d
 
COUNT = 66
KEY = ffffffffffffffffe00000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 597a6252255e46d6364dbeeda31e279c
 
COUNT = 67
KEY = fffffffffffffffff00000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f51a0f694442b8f05571797fec7ee8bf
 
COUNT = 68
KEY = fffffffffffffffff80000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9ff071b165b5198a93dddeebc54d09b5
 
COUNT = 69
KEY = fffffffffffffffffc0000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c20a19fd5758b0c4bc1a5df89cf73877
 
COUNT = 70
KEY = fffffffffffffffffe0000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 97120166307119ca2280e9315668e96f
 
COUNT = 71
KEY = ffffffffffffffffff0000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4b3b9f1e099c2a09dc091e90e4f18f0a
 
COUNT = 72
KEY = ffffffffffffffffff8000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = eb040b891d4b37f6851f7ec219cd3f6d
 
COUNT = 73
KEY = ffffffffffffffffffc000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9f0fdec08b7fd79aa39535bea42db92a
 
COUNT = 74
KEY = ffffffffffffffffffe000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2e70f168fc74bf911df240bcd2cef236
 
COUNT = 75
KEY = fffffffffffffffffff000000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 462ccd7f5fd1108dbc152f3cacad328b
 
COUNT = 76
KEY = fffffffffffffffffff800000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a4af534a7d0b643a01868785d86dfb95
 
COUNT = 77
KEY = fffffffffffffffffffc00000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ab980296197e1a5022326c31da4bf6f3
 
COUNT = 78
KEY = fffffffffffffffffffe00000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f97d57b3333b6281b07d486db2d4e20c
 
COUNT = 79
KEY = ffffffffffffffffffff00000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f33fa36720231afe4c759ade6bd62eb6
 
COUNT = 80
KEY = ffffffffffffffffffff80000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fdcfac0c02ca538343c68117e0a15938
 
COUNT = 81
KEY = ffffffffffffffffffffc0000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ad4916f5ee5772be764fc027b8a6e539
 
COUNT = 82
KEY = ffffffffffffffffffffe0000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2e16873e1678610d7e14c02d002ea845
 
COUNT = 83
KEY = fffffffffffffffffffff0000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4e6e627c1acc51340053a8236d579576
 
COUNT = 84
KEY = fffffffffffffffffffff8000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ab0c8410aeeead92feec1eb430d652cb
 
COUNT = 85
KEY = fffffffffffffffffffffc000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e86f7e23e835e114977f60e1a592202e
 
COUNT = 86
KEY = fffffffffffffffffffffe000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e68ad5055a367041fade09d9a70a794b
 
COUNT = 87
KEY = ffffffffffffffffffffff000000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0791823a3c666bb6162825e78606a7fe
 
COUNT = 88
KEY = ffffffffffffffffffffff800000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dcca366a9bf47b7b868b77e25c18a364
 
COUNT = 89
KEY = ffffffffffffffffffffffc00000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 684c9efc237e4a442965f84bce20247a
 
COUNT = 90
KEY = ffffffffffffffffffffffe00000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a858411ffbe63fdb9c8aa1bfaed67b52
 
COUNT = 91
KEY = fffffffffffffffffffffff00000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 04bc3da2179c3015498b0e03910db5b8
 
COUNT = 92
KEY = fffffffffffffffffffffff80000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 40071eeab3f935dbc25d00841460260f
 
COUNT = 93
KEY = fffffffffffffffffffffffc0000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0ebd7c30ed2016e08ba806ddb008bcc8
 
COUNT = 94
KEY = fffffffffffffffffffffffe0000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 15c6becf0f4cec7129cbd22d1a79b1b8
 
COUNT = 95
KEY = ffffffffffffffffffffffff0000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0aeede5b91f721700e9e62edbf60b781
 
COUNT = 96
KEY = ffffffffffffffffffffffff8000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 266581af0dcfbed1585e0a242c64b8df
 
COUNT = 97
KEY = ffffffffffffffffffffffffc000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6693dc911662ae473216ba22189a511a
 
COUNT = 98
KEY = ffffffffffffffffffffffffe000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7606fa36d86473e6fb3a1bb0e2c0adf5
 
COUNT = 99
KEY = fffffffffffffffffffffffff000000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 112078e9e11fbb78e26ffb8899e96b9a
 
COUNT = 100
KEY = fffffffffffffffffffffffff800000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 40b264e921e9e4a82694589ef3798262
 
COUNT = 101
KEY = fffffffffffffffffffffffffc00000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8d4595cb4fa7026715f55bd68e2882f9
 
COUNT = 102
KEY = fffffffffffffffffffffffffe00000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b588a302bdbc09197df1edae68926ed9
 
COUNT = 103
KEY = ffffffffffffffffffffffffff00000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 33f7502390b8a4a221cfecd0666624ba
 
COUNT = 104
KEY = ffffffffffffffffffffffffff80000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3d20253adbce3be2373767c4d822c566
 
COUNT = 105
KEY = ffffffffffffffffffffffffffc0000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a42734a3929bf84cf0116c9856a3c18c
 
COUNT = 106
KEY = ffffffffffffffffffffffffffe0000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e3abc4939457422bb957da3c56938c6d
 
COUNT = 107
KEY = fffffffffffffffffffffffffff0000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 972bdd2e7c525130fadc8f76fc6f4b3f
 
COUNT = 108
KEY = fffffffffffffffffffffffffff8000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 84a83d7b94c699cbcb8a7d9b61f64093
 
COUNT = 109
KEY = fffffffffffffffffffffffffffc000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ce61d63514aded03d43e6ebfc3a9001f
 
COUNT = 110
KEY = fffffffffffffffffffffffffffe000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6c839dd58eeae6b8a36af48ed63d2dc9
 
COUNT = 111
KEY = ffffffffffffffffffffffffffff000000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cd5ece55b8da3bf622c4100df5de46f9
 
COUNT = 112
KEY = ffffffffffffffffffffffffffff800000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3b6f46f40e0ac5fc0a9c1105f800f48d
 
COUNT = 113
KEY = ffffffffffffffffffffffffffffc00000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ba26d47da3aeb028de4fb5b3a854a24b
 
COUNT = 114
KEY = ffffffffffffffffffffffffffffe00000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 87f53bf620d3677268445212904389d5
 
COUNT = 115
KEY = fffffffffffffffffffffffffffff00000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 10617d28b5e0f4605492b182a5d7f9f6
 
COUNT = 116
KEY = fffffffffffffffffffffffffffff80000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9aaec4fabbf6fae2a71feff02e372b39
 
COUNT = 117
KEY = fffffffffffffffffffffffffffffc0000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3a90c62d88b5c42809abf782488ed130
 
COUNT = 118
KEY = fffffffffffffffffffffffffffffe0000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f1f1c5a40899e15772857ccb65c7a09a
 
COUNT = 119
KEY = ffffffffffffffffffffffffffffff0000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 190843d29b25a3897c692ce1dd81ee52
 
COUNT = 120
KEY = ffffffffffffffffffffffffffffff8000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a866bc65b6941d86e8420a7ffb0964db
 
COUNT = 121
KEY = ffffffffffffffffffffffffffffffc000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8193c6ff85225ced4255e92f6e078a14
 
COUNT = 122
KEY = ffffffffffffffffffffffffffffffe000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9661cb2424d7d4a380d547f9e7ec1cb9
 
COUNT = 123
KEY = fffffffffffffffffffffffffffffff000000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 86f93d9ec08453a071e2e2877877a9c8
 
COUNT = 124
KEY = fffffffffffffffffffffffffffffff800000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 27eefa80ce6a4a9d598e3fec365434d2
 
COUNT = 125
KEY = fffffffffffffffffffffffffffffffc00000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d62068444578e3ab39ce7ec95dd045dc
 
COUNT = 126
KEY = fffffffffffffffffffffffffffffffe00000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b5f71d4dd9a71fe5d8bc8ba7e6ea3048
 
COUNT = 127
KEY = ffffffffffffffffffffffffffffffff00000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6825a347ac479d4f9d95c5cb8d3fd7e9
 
COUNT = 128
KEY = ffffffffffffffffffffffffffffffff80000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e3714e94a5778955cc0346358e94783a
 
COUNT = 129
KEY = ffffffffffffffffffffffffffffffffc0000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d836b44bb29e0c7d89fa4b2d4b677d2a
 
COUNT = 130
KEY = ffffffffffffffffffffffffffffffffe0000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5d454b75021d76d4b84f873a8f877b92
 
COUNT = 131
KEY = fffffffffffffffffffffffffffffffff0000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c3498f7eced2095314fc28115885b33f
 
COUNT = 132
KEY = fffffffffffffffffffffffffffffffff8000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6e668856539ad8e405bd123fe6c88530
 
COUNT = 133
KEY = fffffffffffffffffffffffffffffffffc000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8680db7f3a87b8605543cfdbe6754076
 
COUNT = 134
KEY = fffffffffffffffffffffffffffffffffe000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6c5d03b13069c3658b3179be91b0800c
 
COUNT = 135
KEY = ffffffffffffffffffffffffffffffffff000000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ef1b384ac4d93eda00c92add0995ea5f
 
COUNT = 136
KEY = ffffffffffffffffffffffffffffffffff800000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = bf8115805471741bd5ad20a03944790f
 
COUNT = 137
KEY = ffffffffffffffffffffffffffffffffffc00000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c64c24b6894b038b3c0d09b1df068b0b
 
COUNT = 138
KEY = ffffffffffffffffffffffffffffffffffe00000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3967a10cffe27d0178545fbf6a40544b
 
COUNT = 139
KEY = fffffffffffffffffffffffffffffffffff00000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7c85e9c95de1a9ec5a5363a8a053472d
 
COUNT = 140
KEY = fffffffffffffffffffffffffffffffffff80000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a9eec03c8abec7ba68315c2c8c2316e0
 
COUNT = 141
KEY = fffffffffffffffffffffffffffffffffffc0000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cac8e414c2f388227ae14986fc983524
 
COUNT = 142
KEY = fffffffffffffffffffffffffffffffffffe0000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5d942b7f4622ce056c3ce3ce5f1dd9d6
 
COUNT = 143
KEY = ffffffffffffffffffffffffffffffffffff0000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d240d648ce21a3020282c3f1b528a0b6
 
COUNT = 144
KEY = ffffffffffffffffffffffffffffffffffff8000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 45d089c36d5c5a4efc689e3b0de10dd5
 
COUNT = 145
KEY = ffffffffffffffffffffffffffffffffffffc000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b4da5df4becb5462e03a0ed00d295629
 
COUNT = 146
KEY = ffffffffffffffffffffffffffffffffffffe000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dcf4e129136c1a4b7a0f38935cc34b2b
 
COUNT = 147
KEY = fffffffffffffffffffffffffffffffffffff000000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d9a4c7618b0ce48a3d5aee1a1c0114c4
 
COUNT = 148
KEY = fffffffffffffffffffffffffffffffffffff800000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ca352df025c65c7b0bf306fbee0f36ba
 
COUNT = 149
KEY = fffffffffffffffffffffffffffffffffffffc00000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 238aca23fd3409f38af63378ed2f5473
 
COUNT = 150
KEY = fffffffffffffffffffffffffffffffffffffe00000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 59836a0e06a79691b36667d5380d8188
 
COUNT = 151
KEY = ffffffffffffffffffffffffffffffffffffff00000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 33905080f7acf1cdae0a91fc3e85aee4
 
COUNT = 152
KEY = ffffffffffffffffffffffffffffffffffffff80000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 72c9e4646dbc3d6320fc6689d93e8833
 
COUNT = 153
KEY = ffffffffffffffffffffffffffffffffffffffc0000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ba77413dea5925b7f5417ea47ff19f59
 
COUNT = 154
KEY = ffffffffffffffffffffffffffffffffffffffe0000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6cae8129f843d86dc786a0fb1a184970
 
COUNT = 155
KEY = fffffffffffffffffffffffffffffffffffffff0000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fcfefb534100796eebbd990206754e19
 
COUNT = 156
KEY = fffffffffffffffffffffffffffffffffffffff8000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8c791d5fdddf470da04f3e6dc4a5b5b5
 
COUNT = 157
KEY = fffffffffffffffffffffffffffffffffffffffc000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c93bbdc07a4611ae4bb266ea5034a387
 
COUNT = 158
KEY = fffffffffffffffffffffffffffffffffffffffe000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c102e38e489aa74762f3efc5bb23205a
 
COUNT = 159
KEY = ffffffffffffffffffffffffffffffffffffffff000000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 93201481665cbafc1fcc220bc545fb3d
 
COUNT = 160
KEY = ffffffffffffffffffffffffffffffffffffffff800000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4960757ec6ce68cf195e454cfd0f32ca
 
COUNT = 161
KEY = ffffffffffffffffffffffffffffffffffffffffc00000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = feec7ce6a6cbd07c043416737f1bbb33
 
COUNT = 162
KEY = ffffffffffffffffffffffffffffffffffffffffe00000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 11c5413904487a805d70a8edd9c35527
 
COUNT = 163
KEY = fffffffffffffffffffffffffffffffffffffffff00000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 347846b2b2e36f1f0324c86f7f1b98e2
 
COUNT = 164
KEY = fffffffffffffffffffffffffffffffffffffffff80000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 332eee1a0cbd19ca2d69b426894044f0
 
COUNT = 165
KEY = fffffffffffffffffffffffffffffffffffffffffc0000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 866b5b3977ba6efa5128efbda9ff03cd
 
COUNT = 166
KEY = fffffffffffffffffffffffffffffffffffffffffe0000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cc1445ee94c0f08cdee5c344ecd1e233
 
COUNT = 167
KEY = ffffffffffffffffffffffffffffffffffffffffff0000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = be288319029363c2622feba4b05dfdfe
 
COUNT = 168
KEY = ffffffffffffffffffffffffffffffffffffffffff8000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cfd1875523f3cd21c395651e6ee15e56
 
COUNT = 169
KEY = ffffffffffffffffffffffffffffffffffffffffffc000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cb5a408657837c53bf16f9d8465dce19
 
COUNT = 170
KEY = ffffffffffffffffffffffffffffffffffffffffffe000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ca0bf42cb107f55ccff2fc09ee08ca15
 
COUNT = 171
KEY = fffffffffffffffffffffffffffffffffffffffffff000000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fdd9bbb4a7dc2e4a23536a5880a2db67
 
COUNT = 172
KEY = fffffffffffffffffffffffffffffffffffffffffff800000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ede447b362c484993dec9442a3b46aef
 
COUNT = 173
KEY = fffffffffffffffffffffffffffffffffffffffffffc00000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 10dffb05904bff7c4781df780ad26837
 
COUNT = 174
KEY = fffffffffffffffffffffffffffffffffffffffffffe00000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c33bc13e8de88ac25232aa7496398783
 
COUNT = 175
KEY = ffffffffffffffffffffffffffffffffffffffffffff00000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ca359c70803a3b2a3d542e8781dea975
 
COUNT = 176
KEY = ffffffffffffffffffffffffffffffffffffffffffff80000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = bcc65b526f88d05b89ce8a52021fdb06
 
COUNT = 177
KEY = ffffffffffffffffffffffffffffffffffffffffffffc0000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = db91a38855c8c4643851fbfb358b0109
 
COUNT = 178
KEY = ffffffffffffffffffffffffffffffffffffffffffffe0000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ca6e8893a114ae8e27d5ab03a5499610
 
COUNT = 179
KEY = fffffffffffffffffffffffffffffffffffffffffffff0000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6629d2b8df97da728cdd8b1e7f945077
 
COUNT = 180
KEY = fffffffffffffffffffffffffffffffffffffffffffff8000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4570a5a18cfc0dd582f1d88d5c9a1720
 
COUNT = 181
KEY = fffffffffffffffffffffffffffffffffffffffffffffc000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 72bc65aa8e89562e3f274d45af1cd10b
 
COUNT = 182
KEY = fffffffffffffffffffffffffffffffffffffffffffffe000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 98551da1a6503276ae1c77625f9ea615
 
COUNT = 183
KEY = ffffffffffffffffffffffffffffffffffffffffffffff000000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0ddfe51ced7e3f4ae927daa3fe452cee
 
COUNT = 184
KEY = ffffffffffffffffffffffffffffffffffffffffffffff800000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = db826251e4ce384b80218b0e1da1dd4c
 
COUNT = 185
KEY = ffffffffffffffffffffffffffffffffffffffffffffffc00000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2cacf728b88abbad7011ed0e64a1680c
 
COUNT = 186
KEY = ffffffffffffffffffffffffffffffffffffffffffffffe00000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 330d8ee7c5677e099ac74c9994ee4cfb
 
COUNT = 187
KEY = fffffffffffffffffffffffffffffffffffffffffffffff00000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = edf61ae362e882ddc0167474a7a77f3a
 
COUNT = 188
KEY = fffffffffffffffffffffffffffffffffffffffffffffff80000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6168b00ba7859e0970ecfd757efecf7c
 
COUNT = 189
KEY = fffffffffffffffffffffffffffffffffffffffffffffffc0000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d1415447866230d28bb1ea18a4cdfd02
 
COUNT = 190
KEY = fffffffffffffffffffffffffffffffffffffffffffffffe0000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 516183392f7a8763afec68a060264141
 
COUNT = 191
KEY = ffffffffffffffffffffffffffffffffffffffffffffffff0000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 77565c8d73cfd4130b4aa14d8911710f
 
COUNT = 192
KEY = ffffffffffffffffffffffffffffffffffffffffffffffff8000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 37232a4ed21ccc27c19c9610078cabac
 
COUNT = 193
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffc000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 804f32ea71828c7d329077e712231666
 
COUNT = 194
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffe000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d64424f23cb97215e9c2c6f28d29eab7
 
COUNT = 195
KEY = fffffffffffffffffffffffffffffffffffffffffffffffff000000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 023e82b533f68c75c238cebdb2ee89a2
 
COUNT = 196
KEY = fffffffffffffffffffffffffffffffffffffffffffffffff800000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 193a3d24157a51f1ee0893f6777417e7
 
COUNT = 197
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffc00000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 84ecacfcd400084d078612b1945f2ef5
 
COUNT = 198
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffe00000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1dcd8bb173259eb33a5242b0de31a455
 
COUNT = 199
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffff00000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 35e9eddbc375e792c19992c19165012b
 
COUNT = 200
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffff80000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8a772231c01dfdd7c98e4cfddcc0807a
 
COUNT = 201
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffc0000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6eda7ff6b8319180ff0d6e65629d01c3
 
COUNT = 202
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffe0000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c267ef0e2d01a993944dd397101413cb
 
COUNT = 203
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffff0000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e9f80e9d845bcc0f62926af72eabca39
 
COUNT = 204
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffff8000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6702990727aa0878637b45dcd3a3b074
 
COUNT = 205
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffc000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2e2e647d5360e09230a5d738ca33471e
 
COUNT = 206
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffe000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1f56413c7add6f43d1d56e4f02190330
 
COUNT = 207
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffff000000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 69cd0606e15af729d6bca143016d9842
 
COUNT = 208
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffff800000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a085d7c1a500873a20099c4caa3c3f5b
 
COUNT = 209
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffc00000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4fc0d230f8891415b87b83f95f2e09d1
 
COUNT = 210
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffe00000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4327d08c523d8eba697a4336507d1f42
 
COUNT = 211
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffff00000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7a15aab82701efa5ae36ab1d6b76290f
 
COUNT = 212
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffff80000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5bf0051893a18bb30e139a58fed0fa54
 
COUNT = 213
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffc0000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 97e8adf65638fd9cdf3bc22c17fe4dbd
 
COUNT = 214
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffe0000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1ee6ee326583a0586491c96418d1a35d
 
COUNT = 215
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffff0000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 26b549c2ec756f82ecc48008e529956b
 
COUNT = 216
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffff8000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 70377b6da669b072129e057cc28e9ca5
 
COUNT = 217
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffc000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9c94b8b0cb8bcc919072262b3fa05ad9
 
COUNT = 218
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffe000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2fbb83dfd0d7abcb05cd28cad2dfb523
 
COUNT = 219
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffff000000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 96877803de77744bb970d0a91f4debae
 
COUNT = 220
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffff800000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7379f3370cf6e5ce12ae5969c8eea312
 
COUNT = 221
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffc00000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 02dc99fa3d4f98ce80985e7233889313
 
COUNT = 222
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffe00000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1e38e759075ba5cab6457da51844295a
 
COUNT = 223
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffff00000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 70bed8dbf615868a1f9d9b05d3e7a267
 
COUNT = 224
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffff80000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 234b148b8cb1d8c32b287e896903d150
 
COUNT = 225
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffc0000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 294b033df4da853f4be3e243f7e513f4
 
COUNT = 226
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3f58c950f0367160adec45f2441e7411
 
COUNT = 227
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffff0000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 37f655536a704e5ace182d742a820cf4
 
COUNT = 228
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffff8000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ea7bd6bb63418731aeac790fe42d61e8
 
COUNT = 229
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffc000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = e74a4c999b4c064e48bb1e413f51e5ea
 
COUNT = 230
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffe000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ba9ebefdb4ccf30f296cecb3bc1943e8
 
COUNT = 231
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffff000000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3194367a4898c502c13bb7478640a72d
 
COUNT = 232
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffff800000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = da797713263d6f33a5478a65ef60d412
 
COUNT = 233
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc00000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d1ac39bb1ef86b9c1344f214679aa376
 
COUNT = 234
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe00000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2fdea9e650532be5bc0e7325337fd363
 
COUNT = 235
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffff00000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d3a204dbd9c2af158b6ca67a5156ce4a
 
COUNT = 236
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffff80000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3a0a0e75a8da36735aee6684d965a778
 
COUNT = 237
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc0000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 52fc3e620492ea99641ea168da5b6d52
 
COUNT = 238
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d2e0c7f15b4772467d2cfc873000b2ca
 
COUNT = 239
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff0000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 563531135e0c4d70a38f8bdb190ba04e
 
COUNT = 240
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff8000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a8a39a0f5663f4c0fe5f2d3cafff421a
 
COUNT = 241
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d94b5e90db354c1e42f61fabe167b2c0
 
COUNT = 242
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 50e6d3c9b6698a7cd276f96b1473f35a
 
COUNT = 243
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff000
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9338f08e0ebee96905d8f2e825208f43
 
COUNT = 244
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff800
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8b378c86672aa54a3a266ba19d2580ca
 
COUNT = 245
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc00
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cca7c3086f5f9511b31233da7cab9160
 
COUNT = 246
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe00
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5b40ff4ec9be536ba23035fa4f06064c
 
COUNT = 247
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff00
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 60eb5af8416b257149372194e8b88749
 
COUNT = 248
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff80
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2f005a8aed8a361c92e440c15520cbd1
 
COUNT = 249
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7b03627611678a997717578807a800e2
 
COUNT = 250
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cf78618f74f6f3696e0a4779b90b5a77
 
COUNT = 251
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 03720371a04962eaea0a852e69972858
 
COUNT = 252
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff8
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 1f8a8133aa8ccf70e2bd3285831ca6b7
 
COUNT = 253
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffc
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 27936bd27fb1468fc8b48bc483321725
 
COUNT = 254
KEY = fffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffe
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b07d4f3e2cd2ef2eb545980754dfea0f
 
COUNT = 255
KEY = ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4bf85f1b5d54adbc307b0a048389adcb
/trunk/verilog/sim/KAT_AES/ECBKeySbox128d.txt
0,0 → 1,106
[DECRYPT]
 
COUNT = 0
KEY = 10a58869d74be5a374cf867cfb473859
CIPHERTEXT = 6d251e6944b051e04eaa6fb4dbf78465
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 1
KEY = caea65cdbb75e9169ecd22ebe6e54675
CIPHERTEXT = 6e29201190152df4ee058139def610bb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 2
KEY = a2e2fa9baf7d20822ca9f0542f764a41
CIPHERTEXT = c3b44b95d9d2f25670eee9a0de099fa3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 3
KEY = b6364ac4e1de1e285eaf144a2415f7a0
CIPHERTEXT = 5d9b05578fc944b3cf1ccf0e746cd581
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 4
KEY = 64cf9c7abc50b888af65f49d521944b2
CIPHERTEXT = f7efc89d5dba578104016ce5ad659c05
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 5
KEY = 47d6742eefcc0465dc96355e851b64d9
CIPHERTEXT = 0306194f666d183624aa230a8b264ae7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 6
KEY = 3eb39790678c56bee34bbcdeccf6cdb5
CIPHERTEXT = 858075d536d79ccee571f7d7204b1f67
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 7
KEY = 64110a924f0743d500ccadae72c13427
CIPHERTEXT = 35870c6a57e9e92314bcb8087cde72ce
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 8
KEY = 18d8126516f8a12ab1a36d9f04d68e51
CIPHERTEXT = 6c68e9be5ec41e22c825b7c7affb4363
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 9
KEY = f530357968578480b398a3c251cd1093
CIPHERTEXT = f5df39990fc688f1b07224cc03e86cea
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 10
KEY = da84367f325d42d601b4326964802e8e
CIPHERTEXT = bba071bcb470f8f6586e5d3add18bc66
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 11
KEY = e37b1c6aa2846f6fdb413f238b089f23
CIPHERTEXT = 43c9f7e62f5d288bb27aa40ef8fe1ea8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 12
KEY = 6c002b682483e0cabcc731c253be5674
CIPHERTEXT = 3580d19cff44f1014a7c966a69059de5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 13
KEY = 143ae8ed6555aba96110ab58893a8ae1
CIPHERTEXT = 806da864dd29d48deafbe764f8202aef
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 14
KEY = b69418a85332240dc82492353956ae0c
CIPHERTEXT = a303d940ded8f0baff6f75414cac5243
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 15
KEY = 71b5c08a1993e1362e4d0ce9b22b78d5
CIPHERTEXT = c2dabd117f8a3ecabfbb11d12194d9d0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 16
KEY = e234cdca2606b81f29408d5f6da21206
CIPHERTEXT = fff60a4740086b3b9c56195b98d91a7b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 17
KEY = 13237c49074a3da078dc1d828bb78c6f
CIPHERTEXT = 8146a08e2357f0caa30ca8c94d1a0544
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 18
KEY = 3071a2a48fe6cbd04f1a129098e308f8
CIPHERTEXT = 4b98e06d356deb07ebb824e5713f7be3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 19
KEY = 90f42ec0f68385f2ffc5dfc03a654dce
CIPHERTEXT = 7a20a53d460fc9ce0423a7a0764c6cf2
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 20
KEY = febd9a24d8b65c1c787d50a4ed3619a9
CIPHERTEXT = f4a70d8af877f9b02b4c40df57d45b17
PLAINTEXT = 00000000000000000000000000000000
/trunk/verilog/sim/KAT_AES/ECBKeySbox192d.txt
0,0 → 1,121
[DECRYPT]
 
COUNT = 0
KEY = e9f065d7c13573587f7875357dfbb16c53489f6a4bd0f7cd
CIPHERTEXT = 0956259c9cd5cfd0181cca53380cde06
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 1
KEY = 15d20f6ebc7e649fd95b76b107e6daba967c8a9484797f29
CIPHERTEXT = 8e4e18424e591a3d5b6f0876f16f8594
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 2
KEY = a8a282ee31c03fae4f8e9b8930d5473c2ed695a347e88b7c
CIPHERTEXT = 93f3270cfc877ef17e106ce938979cb0
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 3
KEY = cd62376d5ebb414917f0c78f05266433dc9192a1ec943300
CIPHERTEXT = 7f6c25ff41858561bb62f36492e93c29
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 4
KEY = 502a6ab36984af268bf423c7f509205207fc1552af4a91e5
CIPHERTEXT = 8e06556dcbb00b809a025047cff2a940
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 5
KEY = 25a39dbfd8034f71a81f9ceb55026e4037f8f6aa30ab44ce
CIPHERTEXT = 3608c344868e94555d23a120f8a5502d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 6
KEY = e08c15411774ec4a908b64eadc6ac4199c7cd453f3aaef53
CIPHERTEXT = 77da2021935b840b7f5dcc39132da9e5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 7
KEY = 3b375a1ff7e8d44409696e6326ec9dec86138e2ae010b980
CIPHERTEXT = 3b7c24f825e3bf9873c9f14d39a0e6f4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 8
KEY = 950bb9f22cc35be6fe79f52c320af93dec5bc9c0c2f9cd53
CIPHERTEXT = 64ebf95686b353508c90ecd8b6134316
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 9
KEY = 7001c487cc3e572cfc92f4d0e697d982e8856fdcc957da40
CIPHERTEXT = ff558c5d27210b7929b73fc708eb4cf1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 10
KEY = f029ce61d4e5a405b41ead0a883cc6a737da2cf50a6c92ae
CIPHERTEXT = a2c3b2a818075490a7b4c14380f02702
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 11
KEY = 61257134a518a0d57d9d244d45f6498cbc32f2bafc522d79
CIPHERTEXT = cfe4d74002696ccf7d87b14a2f9cafc9
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 12
KEY = b0ab0a6a818baef2d11fa33eac947284fb7d748cfb75e570
CIPHERTEXT = d2eafd86f63b109b91f5dbb3a3fb7e13
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 13
KEY = ee053aa011c8b428cdcc3636313c54d6a03cac01c71579d6
CIPHERTEXT = 9b9fdd1c5975655f539998b306a324af
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 14
KEY = d2926527e0aa9f37b45e2ec2ade5853ef807576104c7ace3
CIPHERTEXT = dd619e1cf204446112e0af2b9afa8f8c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 15
KEY = 982215f4e173dfa0fcffe5d3da41c4812c7bcc8ed3540f93
CIPHERTEXT = d4f0aae13c8fe9339fbf9e69ed0ad74d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 16
KEY = 98c6b8e01e379fbd14e61af6af891596583565f2a27d59e9
CIPHERTEXT = 19c80ec4a6deb7e5ed1033dda933498f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 17
KEY = b3ad5cea1dddc214ca969ac35f37dae1a9a9d1528f89bb35
CIPHERTEXT = 3cf5e1d21a17956d1dffad6a7c41c659
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 18
KEY = 45899367c3132849763073c435a9288a766c8b9ec2308516
CIPHERTEXT = 69fd12e8505f8ded2fdcb197a121b362
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 19
KEY = ec250e04c3903f602647b85a401a1ae7ca2f02f67fa4253e
CIPHERTEXT = 8aa584e2cc4d17417a97cb9a28ba29c8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 20
KEY = d077a03bd8a38973928ccafe4a9d2f455130bd0af5ae46a9
CIPHERTEXT = abc786fb1edb504580c4d882ef29a0c7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 21
KEY = d184c36cf0dddfec39e654195006022237871a47c33d3198
CIPHERTEXT = 2e19fb60a3e1de0166f483c97824a978
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 22
KEY = 4c6994ffa9dcdc805b60c2c0095334c42d95a8fc0ca5b080
CIPHERTEXT = 7656709538dd5fec41e0ce6a0f8e207d
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 23
KEY = c88f5b00a4ef9a6840e2acaf33f00a3bdc4e25895303fa72
CIPHERTEXT = a67cf333b314d411d3c0ae6e1cfcd8f5
PLAINTEXT = 00000000000000000000000000000000
/trunk/verilog/sim/KAT_AES/ECBKeySbox128e.txt
0,0 → 1,106
[ENCRYPT]
 
COUNT = 0
KEY = 10a58869d74be5a374cf867cfb473859
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6d251e6944b051e04eaa6fb4dbf78465
 
COUNT = 1
KEY = caea65cdbb75e9169ecd22ebe6e54675
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6e29201190152df4ee058139def610bb
 
COUNT = 2
KEY = a2e2fa9baf7d20822ca9f0542f764a41
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c3b44b95d9d2f25670eee9a0de099fa3
 
COUNT = 3
KEY = b6364ac4e1de1e285eaf144a2415f7a0
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 5d9b05578fc944b3cf1ccf0e746cd581
 
COUNT = 4
KEY = 64cf9c7abc50b888af65f49d521944b2
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f7efc89d5dba578104016ce5ad659c05
 
COUNT = 5
KEY = 47d6742eefcc0465dc96355e851b64d9
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0306194f666d183624aa230a8b264ae7
 
COUNT = 6
KEY = 3eb39790678c56bee34bbcdeccf6cdb5
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 858075d536d79ccee571f7d7204b1f67
 
COUNT = 7
KEY = 64110a924f0743d500ccadae72c13427
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 35870c6a57e9e92314bcb8087cde72ce
 
COUNT = 8
KEY = 18d8126516f8a12ab1a36d9f04d68e51
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 6c68e9be5ec41e22c825b7c7affb4363
 
COUNT = 9
KEY = f530357968578480b398a3c251cd1093
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f5df39990fc688f1b07224cc03e86cea
 
COUNT = 10
KEY = da84367f325d42d601b4326964802e8e
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = bba071bcb470f8f6586e5d3add18bc66
 
COUNT = 11
KEY = e37b1c6aa2846f6fdb413f238b089f23
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 43c9f7e62f5d288bb27aa40ef8fe1ea8
 
COUNT = 12
KEY = 6c002b682483e0cabcc731c253be5674
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3580d19cff44f1014a7c966a69059de5
 
COUNT = 13
KEY = 143ae8ed6555aba96110ab58893a8ae1
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 806da864dd29d48deafbe764f8202aef
 
COUNT = 14
KEY = b69418a85332240dc82492353956ae0c
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a303d940ded8f0baff6f75414cac5243
 
COUNT = 15
KEY = 71b5c08a1993e1362e4d0ce9b22b78d5
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = c2dabd117f8a3ecabfbb11d12194d9d0
 
COUNT = 16
KEY = e234cdca2606b81f29408d5f6da21206
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fff60a4740086b3b9c56195b98d91a7b
 
COUNT = 17
KEY = 13237c49074a3da078dc1d828bb78c6f
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8146a08e2357f0caa30ca8c94d1a0544
 
COUNT = 18
KEY = 3071a2a48fe6cbd04f1a129098e308f8
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4b98e06d356deb07ebb824e5713f7be3
 
COUNT = 19
KEY = 90f42ec0f68385f2ffc5dfc03a654dce
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7a20a53d460fc9ce0423a7a0764c6cf2
 
COUNT = 20
KEY = febd9a24d8b65c1c787d50a4ed3619a9
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = f4a70d8af877f9b02b4c40df57d45b17
/trunk/verilog/sim/KAT_AES/ECBKeySbox192e.txt
0,0 → 1,121
[ENCRYPT]
 
COUNT = 0
KEY = e9f065d7c13573587f7875357dfbb16c53489f6a4bd0f7cd
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 0956259c9cd5cfd0181cca53380cde06
 
COUNT = 1
KEY = 15d20f6ebc7e649fd95b76b107e6daba967c8a9484797f29
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8e4e18424e591a3d5b6f0876f16f8594
 
COUNT = 2
KEY = a8a282ee31c03fae4f8e9b8930d5473c2ed695a347e88b7c
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 93f3270cfc877ef17e106ce938979cb0
 
COUNT = 3
KEY = cd62376d5ebb414917f0c78f05266433dc9192a1ec943300
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7f6c25ff41858561bb62f36492e93c29
 
COUNT = 4
KEY = 502a6ab36984af268bf423c7f509205207fc1552af4a91e5
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8e06556dcbb00b809a025047cff2a940
 
COUNT = 5
KEY = 25a39dbfd8034f71a81f9ceb55026e4037f8f6aa30ab44ce
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3608c344868e94555d23a120f8a5502d
 
COUNT = 6
KEY = e08c15411774ec4a908b64eadc6ac4199c7cd453f3aaef53
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 77da2021935b840b7f5dcc39132da9e5
 
COUNT = 7
KEY = 3b375a1ff7e8d44409696e6326ec9dec86138e2ae010b980
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3b7c24f825e3bf9873c9f14d39a0e6f4
 
COUNT = 8
KEY = 950bb9f22cc35be6fe79f52c320af93dec5bc9c0c2f9cd53
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 64ebf95686b353508c90ecd8b6134316
 
COUNT = 9
KEY = 7001c487cc3e572cfc92f4d0e697d982e8856fdcc957da40
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = ff558c5d27210b7929b73fc708eb4cf1
 
COUNT = 10
KEY = f029ce61d4e5a405b41ead0a883cc6a737da2cf50a6c92ae
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a2c3b2a818075490a7b4c14380f02702
 
COUNT = 11
KEY = 61257134a518a0d57d9d244d45f6498cbc32f2bafc522d79
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = cfe4d74002696ccf7d87b14a2f9cafc9
 
COUNT = 12
KEY = b0ab0a6a818baef2d11fa33eac947284fb7d748cfb75e570
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d2eafd86f63b109b91f5dbb3a3fb7e13
 
COUNT = 13
KEY = ee053aa011c8b428cdcc3636313c54d6a03cac01c71579d6
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 9b9fdd1c5975655f539998b306a324af
 
COUNT = 14
KEY = d2926527e0aa9f37b45e2ec2ade5853ef807576104c7ace3
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = dd619e1cf204446112e0af2b9afa8f8c
 
COUNT = 15
KEY = 982215f4e173dfa0fcffe5d3da41c4812c7bcc8ed3540f93
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = d4f0aae13c8fe9339fbf9e69ed0ad74d
 
COUNT = 16
KEY = 98c6b8e01e379fbd14e61af6af891596583565f2a27d59e9
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 19c80ec4a6deb7e5ed1033dda933498f
 
COUNT = 17
KEY = b3ad5cea1dddc214ca969ac35f37dae1a9a9d1528f89bb35
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 3cf5e1d21a17956d1dffad6a7c41c659
 
COUNT = 18
KEY = 45899367c3132849763073c435a9288a766c8b9ec2308516
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 69fd12e8505f8ded2fdcb197a121b362
 
COUNT = 19
KEY = ec250e04c3903f602647b85a401a1ae7ca2f02f67fa4253e
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 8aa584e2cc4d17417a97cb9a28ba29c8
 
COUNT = 20
KEY = d077a03bd8a38973928ccafe4a9d2f455130bd0af5ae46a9
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = abc786fb1edb504580c4d882ef29a0c7
 
COUNT = 21
KEY = d184c36cf0dddfec39e654195006022237871a47c33d3198
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 2e19fb60a3e1de0166f483c97824a978
 
COUNT = 22
KEY = 4c6994ffa9dcdc805b60c2c0095334c42d95a8fc0ca5b080
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 7656709538dd5fec41e0ce6a0f8e207d
 
COUNT = 23
KEY = c88f5b00a4ef9a6840e2acaf33f00a3bdc4e25895303fa72
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a67cf333b314d411d3c0ae6e1cfcd8f5
/trunk/verilog/sim/KAT_AES/ECBKeySbox256d.txt
0,0 → 1,81
[DECRYPT]
 
COUNT = 0
KEY = c47b0294dbbbee0fec4757f22ffeee3587ca4730c3d33b691df38bab076bc558
CIPHERTEXT = 46f2fb342d6f0ab477476fc501242c5f
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 1
KEY = 28d46cffa158533194214a91e712fc2b45b518076675affd910edeca5f41ac64
CIPHERTEXT = 4bf3b0a69aeb6657794f2901b1440ad4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 2
KEY = c1cc358b449909a19436cfbb3f852ef8bcb5ed12ac7058325f56e6099aab1a1c
CIPHERTEXT = 352065272169abf9856843927d0674fd
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 3
KEY = 984ca75f4ee8d706f46c2d98c0bf4a45f5b00d791c2dfeb191b5ed8e420fd627
CIPHERTEXT = 4307456a9e67813b452e15fa8fffe398
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 4
KEY = b43d08a447ac8609baadae4ff12918b9f68fc1653f1269222f123981ded7a92f
CIPHERTEXT = 4663446607354989477a5c6f0f007ef4
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 5
KEY = 1d85a181b54cde51f0e098095b2962fdc93b51fe9b88602b3f54130bf76a5bd9
CIPHERTEXT = 531c2c38344578b84d50b3c917bbb6e1
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 6
KEY = dc0eba1f2232a7879ded34ed8428eeb8769b056bbaf8ad77cb65c3541430b4cf
CIPHERTEXT = fc6aec906323480005c58e7e1ab004ad
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 7
KEY = f8be9ba615c5a952cabbca24f68f8593039624d524c816acda2c9183bd917cb9
CIPHERTEXT = a3944b95ca0b52043584ef02151926a8
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 8
KEY = 797f8b3d176dac5b7e34a2d539c4ef367a16f8635f6264737591c5c07bf57a3e
CIPHERTEXT = a74289fe73a4c123ca189ea1e1b49ad5
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 9
KEY = 6838d40caf927749c13f0329d331f448e202c73ef52c5f73a37ca635d4c47707
CIPHERTEXT = b91d4ea4488644b56cf0812fa7fcf5fc
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 10
KEY = ccd1bc3c659cd3c59bc437484e3c5c724441da8d6e90ce556cd57d0752663bbc
CIPHERTEXT = 304f81ab61a80c2e743b94d5002a126b
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 11
KEY = 13428b5e4c005e0636dd338405d173ab135dec2a25c22c5df0722d69dcc43887
CIPHERTEXT = 649a71545378c783e368c9ade7114f6c
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 12
KEY = 07eb03a08d291d1b07408bf3512ab40c91097ac77461aad4bb859647f74f00ee
CIPHERTEXT = 47cb030da2ab051dfc6c4bf6910d12bb
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 13
KEY = 90143ae20cd78c5d8ebdd6cb9dc1762427a96c78c639bccc41a61424564eafe1
CIPHERTEXT = 798c7c005dee432b2c8ea5dfa381ecc3
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 14
KEY = b7a5794d52737475d53d5a377200849be0260a67a2b22ced8bbef12882270d07
CIPHERTEXT = 637c31dc2591a07636f646b72daabbe7
PLAINTEXT = 00000000000000000000000000000000
 
COUNT = 15
KEY = fca02f3d5011cfc5c1e23165d413a049d4526a991827424d896fe3435e0bf68e
CIPHERTEXT = 179a49c712154bbffbe6e7a84a18e220
PLAINTEXT = 00000000000000000000000000000000
/trunk/verilog/sim/KAT_AES/ECBKeySbox256e.txt
0,0 → 1,81
[ENCRYPT]
 
COUNT = 0
KEY = c47b0294dbbbee0fec4757f22ffeee3587ca4730c3d33b691df38bab076bc558
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 46f2fb342d6f0ab477476fc501242c5f
 
COUNT = 1
KEY = 28d46cffa158533194214a91e712fc2b45b518076675affd910edeca5f41ac64
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4bf3b0a69aeb6657794f2901b1440ad4
 
COUNT = 2
KEY = c1cc358b449909a19436cfbb3f852ef8bcb5ed12ac7058325f56e6099aab1a1c
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 352065272169abf9856843927d0674fd
 
COUNT = 3
KEY = 984ca75f4ee8d706f46c2d98c0bf4a45f5b00d791c2dfeb191b5ed8e420fd627
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4307456a9e67813b452e15fa8fffe398
 
COUNT = 4
KEY = b43d08a447ac8609baadae4ff12918b9f68fc1653f1269222f123981ded7a92f
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 4663446607354989477a5c6f0f007ef4
 
COUNT = 5
KEY = 1d85a181b54cde51f0e098095b2962fdc93b51fe9b88602b3f54130bf76a5bd9
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 531c2c38344578b84d50b3c917bbb6e1
 
COUNT = 6
KEY = dc0eba1f2232a7879ded34ed8428eeb8769b056bbaf8ad77cb65c3541430b4cf
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = fc6aec906323480005c58e7e1ab004ad
 
COUNT = 7
KEY = f8be9ba615c5a952cabbca24f68f8593039624d524c816acda2c9183bd917cb9
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a3944b95ca0b52043584ef02151926a8
 
COUNT = 8
KEY = 797f8b3d176dac5b7e34a2d539c4ef367a16f8635f6264737591c5c07bf57a3e
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = a74289fe73a4c123ca189ea1e1b49ad5
 
COUNT = 9
KEY = 6838d40caf927749c13f0329d331f448e202c73ef52c5f73a37ca635d4c47707
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = b91d4ea4488644b56cf0812fa7fcf5fc
 
COUNT = 10
KEY = ccd1bc3c659cd3c59bc437484e3c5c724441da8d6e90ce556cd57d0752663bbc
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 304f81ab61a80c2e743b94d5002a126b
 
COUNT = 11
KEY = 13428b5e4c005e0636dd338405d173ab135dec2a25c22c5df0722d69dcc43887
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 649a71545378c783e368c9ade7114f6c
 
COUNT = 12
KEY = 07eb03a08d291d1b07408bf3512ab40c91097ac77461aad4bb859647f74f00ee
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 47cb030da2ab051dfc6c4bf6910d12bb
 
COUNT = 13
KEY = 90143ae20cd78c5d8ebdd6cb9dc1762427a96c78c639bccc41a61424564eafe1
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 798c7c005dee432b2c8ea5dfa381ecc3
 
COUNT = 14
KEY = b7a5794d52737475d53d5a377200849be0260a67a2b22ced8bbef12882270d07
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 637c31dc2591a07636f646b72daabbe7
 
COUNT = 15
KEY = fca02f3d5011cfc5c1e23165d413a049d4526a991827424d896fe3435e0bf68e
PLAINTEXT = 00000000000000000000000000000000
CIPHERTEXT = 179a49c712154bbffbe6e7a84a18e220

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.