OpenCores
URL https://opencores.org/ocsvn/ahb2wishbone/ahb2wishbone/trunk

Subversion Repositories ahb2wishbone

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/trunk/svtb/avm_svtb/ahb_wb_scoreboard.svh
5,7 → 5,7
//File name : ahb_wb_scoreboard.svh
//Designer : Sanjay kumar
//Date : 3rd Aug'2007
//Description : ahb_wb_:Class to receive monitor packet from the publisher(monitor) and check for
//Description : ahb_wb_scoreboard:Class to receive monitor packet from the publisher(monitor) and check for
// protocol matching.
//Revision : 1.0
//*****************************************************************************************************************
/trunk/svtb/avm_svtb/global.sv
1,3 → 1,14
//////////////////////////////////////////////////////////////////////////////////////////////////////////////////
//*****************************************************************************************************************
// Copyright (c) 2007 TooMuch Semiconductor Solutions Pvt Ltd.
//
//File name : global.sv
//Designer : Sanjay kumar
//Date : 3rd Aug'2007
//Description : global : Package defining user defined transaction packets
//Revision : 1.0
//*****************************************************************************************************************
///////////////////////////////////////////////////////////////////////////////////////////////////////////////////
// package decleration
package global;
 
/trunk/svtb/avm_svtb/ahb_wb_top.sv
1,3 → 1,14
//////////////////////////////////////////////////////////////////////////////////////////////////////////////////
//*****************************************************************************************************************
// Copyright (c) 2007 TooMuch Semiconductor Solutions Pvt Ltd.
//
//File name : ahb_wb_top.sv
//Designer : Sanjay kumar
//Date : 3rd Aug'2007
//Description : ahb_wb_top:Top module instantiating all components and scheduling tasks
//Revision : 1.0
//*****************************************************************************************************************
///////////////////////////////////////////////////////////////////////////////////////////////////////////////////
// top module
`include "../../src/ahb2wb.v"
`timescale 1ns/ 1ps

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.