OpenCores
URL https://opencores.org/ocsvn/astron_statistics/astron_statistics/trunk

Subversion Repositories astron_statistics

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /astron_statistics
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/trunk/hdllib.cfg
1,6 → 1,6
hdl_lib_name = statistics
hdl_library_clause_name = statistics_lib
hdl_lib_uses_synth = common_pkg common_components common_counter common_ram common_add_sub common_mult technology dp_pkg mm diag
hdl_lib_name = astron_statistics
hdl_library_clause_name = astron_statistics_lib
hdl_lib_uses_synth = common_pkg common_components astron_counter astron_ram astron_adder astron_multiplier dp_pkg astron_mm astron_diagnostics
hdl_lib_uses_sim =
hdl_lib_technology =
 
/trunk/st_acc.vhd
18,7 → 18,7
--
-------------------------------------------------------------------------------
 
LIBRARY IEEE, common_pkg_lib, common_components_lib, common_add_sub_lib;
LIBRARY IEEE, common_pkg_lib, common_components_lib, astron_adder_lib;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE common_pkg_lib.common_pkg.ALL;
135,7 → 135,7
-- Adder for the external accumulator
------------------------------------------------------------------------------
u_adder : ENTITY common_add_sub_lib.common_add_sub
u_adder : ENTITY astron_adder_lib.common_add_sub
GENERIC MAP (
g_direction => "ADD",
g_representation => "SIGNED", -- not relevant because g_out_dat_w = g_in_dat_w
/trunk/st_calc.vhd
18,11 → 18,11
--
-------------------------------------------------------------------------------
 
LIBRARY IEEE, common_pkg_lib, common_ram_lib, common_mult_lib, technology_lib;
LIBRARY IEEE, common_pkg_lib, astron_ram_lib, astron_multiplier_lib;
USE IEEE.std_logic_1164.ALL;
USE technology_lib.technology_select_pkg.ALL;
--USE technology_lib.technology_select_pkg.ALL;
USE common_pkg_lib.common_pkg.ALL;
USE common_ram_lib.common_ram_pkg.ALL;
USE astron_ram_lib.common_ram_pkg.ALL;
 
-- Purpose:
-- Maintain a set of accumulators and output their values at every in_sync.
52,7 → 52,7
 
ENTITY st_calc IS
GENERIC (
g_technology : NATURAL := c_tech_select_default;
g_technology : NATURAL := 0;
g_nof_mux : NATURAL := 1;
g_nof_stat : NATURAL := 512;
g_in_dat_w : NATURAL := 18; -- = input data width
189,7 → 189,7
-- complex multiplier: computes a * conj(b)
--mul: ENTITY common_lib.common_complex_mult(str)
mul: ENTITY common_mult_lib.common_complex_mult
mul: ENTITY astron_multiplier_lib.common_complex_mult
GENERIC MAP (
g_technology => g_technology,
g_variant => "IP",
232,7 → 232,7
);
 
-- accumulator memory for real part
ram_re: ENTITY common_ram_lib.common_ram_r_w
ram_re: ENTITY astron_ram_lib.common_ram_r_w
GENERIC MAP (
g_technology => g_technology,
g_ram => c_mem_acc,
278,7 → 278,7
);
-- dual port memory
ram_im: ENTITY common_ram_lib.common_ram_r_w
ram_im: ENTITY astron_ram_lib.common_ram_r_w
GENERIC MAP (
g_technology => g_technology,
g_ram => c_mem_acc,
/trunk/st_sst.vhd
18,13 → 18,13
--
-------------------------------------------------------------------------------
 
LIBRARY IEEE, common_pkg_lib, common_ram_lib, common_counter_lib, mm_lib, technology_lib, dp_pkg_lib;
LIBRARY IEEE, common_pkg_lib, astron_ram_lib, astron_counter_lib, astron_mm_lib, dp_pkg_lib;
USE IEEE.std_logic_1164.ALL;
USE common_pkg_lib.common_pkg.ALL;
USE common_ram_lib.common_ram_pkg.ALL;
USE mm_lib.common_field_pkg.ALL;
USE astron_ram_lib.common_ram_pkg.ALL;
USE astron_mm_lib.common_field_pkg.ALL;
USE dp_pkg_lib.dp_stream_pkg.ALL;
USE technology_lib.technology_select_pkg.ALL;
--USE technology_lib.technology_select_pkg.ALL;
 
-- Purpose:
-- Store the (auto)power statistics of a complex input stream with
54,7 → 54,7
 
ENTITY st_sst IS
GENERIC (
g_technology : NATURAL := c_tech_select_default;
g_technology : NATURAL := 0;
g_nof_stat : NATURAL := 512; -- nof accumulators
g_xst_enable : BOOLEAN := FALSE; -- when set to true, an extra memory is instantiated to hold the imaginary part of the cross-correlation results
g_in_data_w : NATURAL := 18; -- width o dth edata to be accumulated
129,7 → 129,7
------------------------------------------------------------------------------
-- Register map for the treshold register
------------------------------------------------------------------------------
register_map : ENTITY mm_lib.mm_fields
register_map : ENTITY astron_mm_lib.mm_fields
GENERIC MAP(
g_cross_clock_domain => TRUE,
g_field_arr => c_field_arr
183,7 → 183,7
-- Counter used to detect when treshold is reached in order to load new
-- input vlaues for the multiplier.
------------------------------------------------------------------------------
treshold_cnt : ENTITY common_counter_lib.common_counter
treshold_cnt : ENTITY astron_counter_lib.common_counter
GENERIC MAP(
g_latency => 1,
g_init => 0,
231,7 → 231,7
wrdata_re <= RESIZE_MEM_UDATA(stat_data_re);
wrdata_im <= RESIZE_MEM_UDATA(stat_data_im);
stat_reg_re : ENTITY common_ram_lib.common_ram_crw_crw_ratio
stat_reg_re : ENTITY astron_ram_lib.common_ram_crw_crw_ratio
GENERIC MAP (
g_technology => g_technology,
g_ram_a => c_mm_ram,
271,7 → 271,7
---------------------------------------------------------------
-- Combine the internal array of mm interfaces for both real
-- and imaginary part.
u_mem_mux_select : entity mm_lib.common_mem_mux
u_mem_mux_select : entity astron_mm_lib.common_mem_mux
generic map (
g_nof_mosi => c_nof_complex,
g_mult_addr_w => c_nof_word_w
283,7 → 283,7
miso_arr => ram_st_sst_miso_arr
);
stat_reg_im : ENTITY common_ram_lib.common_ram_crw_crw_ratio
stat_reg_im : ENTITY astron_ram_lib.common_ram_crw_crw_ratio
GENERIC MAP (
g_technology => g_technology,
g_ram_a => c_mm_ram,
/trunk/tb_mmf_st_sst.vhd
30,18 → 30,18
-- > Stop the simulation manually in Modelsim by pressing the stop-button.
-- > Evalute the WAVE window.
 
LIBRARY IEEE, common_pkg_lib, common_ram_lib, mm_lib, diag_lib, dp_pkg_lib;
LIBRARY IEEE, common_pkg_lib, astron_ram_lib, astron_mm_lib, astron_diagnostics_lib, dp_pkg_lib;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE common_pkg_lib.common_pkg.ALL;
USE common_ram_lib.common_ram_pkg.ALL;
USE astron_ram_lib.common_ram_pkg.ALL;
USE common_pkg_lib.common_str_pkg.ALL;
USE common_pkg_lib.tb_common_pkg.ALL;
USE mm_lib.tb_common_mem_pkg.ALL;
USE mm_lib.mm_file_unb_pkg.ALL;
USE mm_lib.mm_file_pkg.ALL;
USE astron_mm_lib.tb_common_mem_pkg.ALL;
USE astron_mm_lib.mm_file_unb_pkg.ALL;
USE astron_mm_lib.mm_file_pkg.ALL;
USE dp_pkg_lib.dp_stream_pkg.ALL;
USE diag_lib.diag_pkg.ALL;
USE astron_diagnostics_lib.diag_pkg.ALL;
 
ENTITY tb_mmf_st_sst IS
GENERIC(
152,7 → 152,7
----------------------------------------------------------------------------
-- Source: block generator
----------------------------------------------------------------------------
u_bg : ENTITY diag_lib.mms_diag_block_gen
u_bg : ENTITY astron_diagnostics_lib.mms_diag_block_gen
GENERIC MAP(
g_nof_streams => c_bg_nof_output_streams,
g_buf_dat_w => c_bg_buf_dat_w,
178,7 → 178,7
);
-- Combine the internal array of mm interfaces for the beamlet statistics to one array that is connected to the port of bf
u_mem_mux_ram_sst : ENTITY mm_lib.common_mem_mux
u_mem_mux_ram_sst : ENTITY astron_mm_lib.common_mem_mux
GENERIC MAP (
g_nof_mosi => g_nof_instances,
g_mult_addr_w => c_ram_addr_w
190,7 → 190,7
miso_arr => ram_st_sst_miso_arr
);
 
u_mem_mux_reg_sst : ENTITY mm_lib.common_mem_mux
u_mem_mux_reg_sst : ENTITY astron_mm_lib.common_mem_mux
GENERIC MAP (
g_nof_mosi => g_nof_instances,
g_mult_addr_w => 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.