OpenCores
URL https://opencores.org/ocsvn/avs_aes/avs_aes/trunk

Subversion Repositories avs_aes

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /avs_aes/trunk
    from Rev 19 to Rev 20
    Reverse comparison

Rev 19 → Rev 20

/rtl/VHDL/memory_word.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
library ieee;
/rtl/VHDL/shiftrow_fwd.vhd
39,9 → 39,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
library IEEE;
/rtl/VHDL/shiftrow.vhd
39,9 → 39,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
library IEEE;
/rtl/VHDL/aes_fsm_decrypt.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
 
/rtl/VHDL/mixcol_inv.vhd
44,9 → 44,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
 
/rtl/VHDL/addroundkey.vhd
38,9 → 38,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
library IEEE;
/rtl/VHDL/sbox.vhd
39,9 → 39,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
/rtl/VHDL/aes_core.vhd
37,9 → 37,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
library ieee;
rtl/VHDL/aes_core.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Date Author Revision \ No newline at end of property Index: rtl/VHDL/shiftrow_inv.vhd =================================================================== --- rtl/VHDL/shiftrow_inv.vhd (revision 19) +++ rtl/VHDL/shiftrow_inv.vhd (revision 20) @@ -39,9 +39,9 @@ -- THE POSSIBILITY OF SUCH DAMAGE ------------------------------------------------------------------------------- -- version management: --- $Author$ --- $Date$ --- $Revision$ +-- $Author:: $ +-- $Date:: $ +-- $Revision:: $ ------------------------------------------------------------------------------- library IEEE; use IEEE.numeric_std.all; Index: rtl/VHDL/aes_fsm_encrypt.vhd =================================================================== --- rtl/VHDL/aes_fsm_encrypt.vhd (revision 19) +++ rtl/VHDL/aes_fsm_encrypt.vhd (revision 20) @@ -36,9 +36,9 @@ -- THE POSSIBILITY OF SUCH DAMAGE ------------------------------------------------------------------------------- -- version management: --- $Author$ --- $Date$ --- $Revision$ +-- $Author:: $ +-- $Date:: $ +-- $Revision:: $ -------------------------------------------------------------------------------
/rtl/VHDL/avs_aes.vhd
56,9 → 56,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
 
/rtl/VHDL/avs_aes_pkg.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
 
/rtl/VHDL/keyexpansionV2.vhd
50,9 → 50,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
library IEEE;
/rtl/VHDL/sboxM4k.vhd
43,9 → 43,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
/rtl/VHDL/sbox_arch1.vhd
39,9 → 39,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
library ieee;
use ieee.numeric_std.all;
/rtl/VHDL/mux2.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
 
/rtl/VHDL/mixcol_fwd.vhd
57,9 → 57,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
 
/rtl/VHDL/mixcol.vhd
38,9 → 38,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
library IEEE;
/rtl/VHDL/mux3.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
-- $Author$
-- $Date$
-- $Revision$
-- $Author:: $
-- $Date:: $
-- $Revision:: $
-------------------------------------------------------------------------------
 
library ieee;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.