OpenCores
URL https://opencores.org/ocsvn/cpu_lecture/cpu_lecture/trunk

Subversion Repositories cpu_lecture

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 11 to Rev 12
    Reverse comparison

Rev 11 → Rev 12

/cpu_lecture/trunk/src/register_file.vhd
179,7 → 179,7
when "001101" => L_S <= R_R26;
when "001110" => L_S <= R_R28;
when "001111" => L_S <= R_R30;
when "101111" => L_S <= R_SP ( 7 downto 0) & X"00"; -- SPL
when "101110" => L_S <= R_SP ( 7 downto 0) & X"00"; -- SPL
when others => L_S <= S_FLAGS & R_SP (15 downto 8); -- SR/SPH
end case;
end process;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.