OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /eco32
    from Rev 325 to Rev 326
    Reverse comparison

Rev 325 → Rev 326

/trunk/fpga/experiments/memctrl/sim/memctrl-0/ramctrl/ram.v
11,14 → 11,14
//
// use this set of parameters for minimal access times
//
`define RD_CYCLES 4'd2 // # cycles for read, min = 2
`define WR_CYCLES 4'd2 // # cycles for write, min = 2
//`define RD_CYCLES 4'd2 // # cycles for read, min = 2
//`define WR_CYCLES 4'd2 // # cycles for write, min = 2
 
//
// use this set of parameters for realistic access times
//
//`define RD_CYCLES 4'd14 // # cycles for read, min = 2
//`define WR_CYCLES 4'd6 // # cycles for write, min = 2
`define RD_CYCLES 4'd6 // # cycles for read, min = 2
`define WR_CYCLES 4'd4 // # cycles for write, min = 2
 
 
module ram(clk, rst,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.