OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ethmac/trunk/bench
    from Rev 346 to Rev 348
    Reverse comparison

Rev 346 → Rev 348

/verilog/tb_ethernet.v
438,6 → 438,10
$fdisplay(wb_m_mon_log_file_desc, " Only ERRONEOUS conditions are logged !");
$fdisplay(wb_m_mon_log_file_desc, " ");
 
`ifdef VCD
$dumpfile("../build/sim/ethmac.vcd");
$dumpvars(0);
`endif
// Reset pulse
wb_rst = 1'b1;
#423 wb_rst = 1'b0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.