OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /fade_ether_protocol/trunk
    from Rev 42 to Rev 43
    Reverse comparison

Rev 42 → Rev 43

/stable_jumbo_frames_version/fpga/src/desc_manager_simple.vhd
7,7 → 7,7
-- License : BSD License
-- Company :
-- Created : 2012-03-30
-- Last update: 2014-10-23
-- Last update: 2017-01-22
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
50,7 → 50,7
signal dout : std_logic_vector(pkt_desc_width-1 downto 0) := (others => '0');
signal rdaddr : integer range 0 to N_OF_PKTS-1;
 
 
begin -- beh1
 
din <= pkt_desc_to_stlv(desc_out);
79,7 → 79,7
use work.pkt_desc_pkg.all;
 
entity desc_manager is
 
generic (
LOG2_N_OF_PKTS : integer := LOG2_N_OF_PKTS;
N_OF_PKTS : integer := N_OF_PKTS
148,7 → 148,7
else
return false;
end if;
 
end function is_bigger;
 
-- To simplify description of state machines, all registers are grouped
347,7 → 347,7
stored_dta_eod <= '0';
-- In the last word of the packet, write the number of written words
dmem_addr <= std_logic_vector(r.head_ptr) &
std_logic_vector(to_unsigned(NWRDS_IN_PKT-1, LOG2_NWRDS_IN_PKT));
std_logic_vector(to_unsigned(NWRDS_IN_PKT-1, LOG2_NWRDS_IN_PKT));
dmem_dta <= std_logic_vector(to_unsigned(wrd_addr, 64));
dmem_we <= '1';
dta_buf_flush <= '1';
381,6 → 381,7
if r.retr_delay /= to_unsigned(0, r.retr_delay'length) then
r_i.retr_delay <= r.retr_delay-1;
end if;
dbg <= "0"; -- default to avoid latch
dmgr_state_next <= dmgr_state;
-- State machine
case dmgr_state is
549,7 → 550,7
c.ack_rd <= '1';
dmgr_state_next <= ST_DMGR_IDLE;
end if;
when FCMD_NACK=>
when FCMD_NACK =>
-- This was a NACK command, currently we simply ignore it
-- (later on we will use it to trigger retransmission).
c.ack_rd <= '1';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.