OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /fade_ether_protocol/trunk
    from Rev 44 to Rev 45
    Reverse comparison

Rev 44 → Rev 45

/stable_jumbo_frames_version/fpga/src/desc_manager_simple.vhd
7,7 → 7,7
-- License : BSD License
-- Company :
-- Created : 2012-03-30
-- Last update: 2017-01-22
-- Last update: 2017-01-23
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
381,7 → 381,7
if r.retr_delay /= to_unsigned(0, r.retr_delay'length) then
r_i.retr_delay <= r.retr_delay-1;
end if;
dbg <= "0"; -- default to avoid latch
dbg <= x"0"; -- default to avoid latch
dmgr_state_next <= dmgr_state;
-- State machine
case dmgr_state is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.