OpenCores
URL https://opencores.org/ocsvn/fpu_double/fpu_double/trunk

Subversion Repositories fpu_double

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 13 to Rev 14
    Reverse comparison

Rev 13 → Rev 14

/fpu_double/trunk/fpu_div.vhd
153,7 → 153,7
mantissa_5 <= mantissa_2 when expon_final_4 = "000000000001" else mantissa_4;
mantissa_6 <= mantissa_1 when expon_final_4_et0 = '1' else mantissa_5;
remainder_a <= quotient_out(53 downto 0) & remainder_msb & remainder_out(52 downto 0);
remainder_1 <= remainder_b(107 downto 52);
remainder_1 <= remainder_b(107 downto 53) & or_reduce(remainder_b(52 downto 0));
remainder_2 <= quotient_out(0) & remainder_msb & remainder_out(52 downto 0) & '0' ;
remainder_3 <= remainder_msb & remainder_out(52 downto 0) & "00" ;
remainder_4 <= remainder_2 when quotient_msb = '1' else remainder_3;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.