OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /funbase_ip_library/trunk
    from Rev 180 to Rev 181
    Reverse comparison

Rev 180 → Rev 181

/TUT/ip.hwp.accelerator/hibi_dct/1.0/hibi_dct.1.0.xml
116,7 → 116,9
<spirit:name>hibi_slave</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:slave/>
<spirit:slave>
<spirit:memoryMapRef spirit:memoryMapRef="hibi_mem_map"/>
</spirit:slave>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
295,6 → 297,19
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
</spirit:busInterfaces>
<spirit:memoryMaps>
<spirit:memoryMap>
<spirit:name>hibi_mem_map</spirit:name>
<spirit:addressBlock>
<spirit:name>hibi_addr_block</spirit:name>
<spirit:baseAddress>0x0</spirit:baseAddress>
<spirit:range>4</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>register</spirit:usage>
</spirit:addressBlock>
<spirit:addressUnitBits>32</spirit:addressUnitBits>
</spirit:memoryMap>
</spirit:memoryMaps>
<spirit:model>
<spirit:views>
<spirit:view>
/TUT/ip.hwp.accelerator/hibi_dct/1.0/sw/dct_to_hibi_test.c
13,8 → 13,8
#include "hpd_functions.h"
 
#define MY_ADDR 0x01000000
#define DCT_ADDR 0x03000000
#define FOO_ADDR 0x05000000
#define DCT_ADDR 0x05000000
#define FOO_ADDR 0x09000000
 
 
 
/TUT/ip.hwp.accelerator/dct_to_hibi/1.0/dct_to_hibi.1.0.xml
14,7 → 14,7
1. Address to send the results to quant
2. Address to send the results to idct (set unused address if you don't use this)
2. Control word for the current macroblock
Control word structure: bit 6: chroma(1)/luma(0) (NOT USED),
Control word structure: bit 6: chroma(1)/luma(0) NOT USED,
5: intra(1)/inter(0),
4..0: quantizer parameter (QP)
3. Then the DCT data ( 8x8x6 x 16-bit values = 384 x 16 bit )
524,7 → 524,7
<spirit:addressBlock>
<spirit:name>dct_regs</spirit:name>
<spirit:baseAddress>0x0</spirit:baseAddress>
<spirit:range>1</spirit:range>
<spirit:range>7</spirit:range>
<spirit:width>32</spirit:width>
<spirit:usage>register</spirit:usage>
<spirit:register>
576,7 → 576,7
<spirit:size>32</spirit:size>
</spirit:register>
</spirit:addressBlock>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:addressUnitBits>32</spirit:addressUnitBits>
</spirit:memoryMap>
</spirit:memoryMaps>
<spirit:model>
965,6 → 965,7
<kactus2:transferType>packet</kactus2:transferType>
<kactus2:comDirection>in</kactus2:comDirection>
<kactus2:propertyValues/>
<kactus2:comImplementationRef spirit:vendor="" spirit:library="" spirit:name="" spirit:version=""/>
</kactus2:comInterface>
<kactus2:comInterface>
<spirit:name>dct_data_out</spirit:name>
974,6 → 975,7
<kactus2:transferType>packet</kactus2:transferType>
<kactus2:comDirection>out</kactus2:comDirection>
<kactus2:propertyValues/>
<kactus2:comImplementationRef spirit:vendor="" spirit:library="" spirit:name="" spirit:version=""/>
</kactus2:comInterface>
<kactus2:comInterface>
<spirit:name>block_count_in</spirit:name>
983,6 → 985,7
<kactus2:transferType>message</kactus2:transferType>
<kactus2:comDirection>in</kactus2:comDirection>
<kactus2:propertyValues/>
<kactus2:comImplementationRef spirit:vendor="" spirit:library="" spirit:name="" spirit:version=""/>
</kactus2:comInterface>
</kactus2:comInterfaces>
</kactus2:extensions>
/TUT/ip.hwp.accelerator/port_blinker/1.0/ip_xact/port_blinker.1.0.xml
5,6 → 5,7
<spirit:library>ip.hwp.accelerator</spirit:library>
<spirit:name>port_blinker</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:description>Counts up and inverts output when reaching the limit value. Then start over again.</spirit:description>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>clk</spirit:name>
158,6 → 159,9
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
</spirit:wire>
<spirit:vendorExtensions>
<kactus2:adHocVisible/>
</spirit:vendorExtensions>
</spirit:port>
<spirit:port>
<spirit:name>ena_in</spirit:name>
175,6 → 179,9
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
</spirit:wire>
<spirit:vendorExtensions>
<kactus2:adHocVisible/>
</spirit:vendorExtensions>
</spirit:port>
<spirit:port>
<spirit:name>port_out</spirit:name>
192,6 → 199,9
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
</spirit:wire>
<spirit:vendorExtensions>
<kactus2:adHocVisible/>
</spirit:vendorExtensions>
</spirit:port>
<spirit:port>
<spirit:name>rst_n</spirit:name>
209,6 → 219,9
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
</spirit:wire>
<spirit:vendorExtensions>
<kactus2:adHocVisible/>
</spirit:vendorExtensions>
</spirit:port>
<spirit:port>
<spirit:name>val_in</spirit:name>
226,6 → 239,9
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
</spirit:wire>
<spirit:vendorExtensions>
<kactus2:adHocVisible/>
</spirit:vendorExtensions>
</spirit:port>
</spirit:ports>
<spirit:modelParameters>
244,9 → 260,6
<spirit:fileType>vhdlSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:logicalName spirit:default="false">work</spirit:logicalName>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
</spirit:file>
<spirit:defaultFileBuilder>
<spirit:fileType>vhdlSource</spirit:fileType>
263,21 → 276,14
<spirit:name>../doc/port_blinker.html</spirit:name>
<spirit:userFileType>documentation</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
</spirit:file>
<spirit:file>
<spirit:name>../doc/TUT.ip.hwp.accelerator.port_blinker.1.0.png</spirit:name>
<spirit:userFileType>jpg</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
</spirit:file>
</spirit:fileSet>
</spirit:fileSets>
<spirit:description>Counts up and inverts output when reaching the limit value. Then start over again.</spirit:description>
<spirit:vendorExtensions>
<kactus2:extensions>
<kactus2:kts_attributes>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.