OpenCores
URL https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk

Subversion Repositories galois_lfsr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /galois_lfsr/trunk
    from Rev 6 to Rev 5
    Reverse comparison

Rev 6 → Rev 5

/rtl/user.vhdl
42,9 → 42,7
generic(
parallelLoad:boolean:=false;
tapVector:boolean_vector:=(
/* Example polynomial from Wikipedia:
http://en.wikipedia.org/wiki/Computation_of_cyclic_redundancy_checks
*/
/* Example polynomial from Wikipedia. */
0|1|2|8=>true, 7 downto 3=>false
)
);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.