OpenCores
URL https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk

Subversion Repositories galois_lfsr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /galois_lfsr/trunk
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/rtl/user.vhdl
42,7 → 42,9
generic(
parallelLoad:boolean:=false;
tapVector:boolean_vector:=(
/* Example polynomial from Wikipedia. */
/* Example polynomial from Wikipedia:
http://en.wikipedia.org/wiki/Computation_of_cyclic_redundancy_checks
*/
0|1|2|8=>true, 7 downto 3=>false
)
);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.